EDA技术项目化教程(txt+pdf+epub+mobi电子书下载)


发布时间:2020-10-30 12:21:52

点击下载

作者:胥勋涛

出版社:电子工业出版社

格式: AZW3, DOCX, EPUB, MOBI, PDF, TXT

EDA技术项目化教程

EDA技术项目化教程试读:

前言

液压与气压传动技术是近些年发展迅速、应用领域越来越广的技术之一,随着相关技术的发EDA(Electronic Design Automation)技术,是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计开发工具,自动完成电子系统设计的全过程。利用EDA技术,电子设计师可以方便地实现IC设计、电子电路设计和PCB设计等工作。

本教材基于生产实际和岗位能力需求,重构传统知识体系,融入最新EDA技术发展,按照完整性、趣味性、扩展性和适应性的原则,选择流行消费类电子产品为主要载体,构建了六个学习情境。情境1训练学生掌握基本的EDA概念,开发流程和EDA开发软件的使用;情境2训练学生利用QuartusⅡ进行原理图设计的基本能力,掌握原理图层次化方法和原理图中的LPM;情境3训练学生基本的VHDL程序设计能力,掌握VHDL程序结构和VHDL并行语句与顺序语句;情境4训练学生复杂VHDL程序设计能力,掌握VHDL层次化设计和VHDL中的LPM;情境5训练学生掌握原理图、文本输入混合设计方法,进一步提高其复杂系统设计能力;情境6训练学生基于FPGA的SOPC设计初步能力,掌握SOPC设计基本流程和方法,初步了解Altera NiosⅡ CPU核的定制方法,学习NiosⅡ EDS 8.1的使用方法和应用程序设计方法。通过本课程的学习,学生能够熟练使用EDA开发工具,具备初步的VHDL程序设计能力,能够利用FPGA器件完成一个中等复杂度的消费类电子产品的开发。同时,作为现代企业所需求的高技能人才的社会能力,也能够通过该门课程的学习得以提升。

本教材的主要特色有以下几点:

1.教学载体选择以消费类电子产品为主,增强学习情境的趣味性和适应性,提高了学生的学习兴趣。

2.重组教学内容,融入最新EDA技术发展。课程将SOPC技术纳入教学范围,突破了传统的EDA技术课程教学体系,与EDA技术的发展现状相符,有利于学生的职业生涯可持续发展。

3.每个学习情境由跟我学和动手做两个任务组成,教学过程基于实际工作流程,坚持学中做和做中学,符合认知规律和职业成长规律。

4.改变传统课程‘一考定终身’的课程评价体系,课程评价采用过程评价与结业评价相结合的原则,并且以学生自我评价和小组互评为主,教师在评价过程中仅起引导作用。

本书由胥勋涛主编,李川、陈思海、何小河参编。其中胥勋涛负责情境1、情境4和情境6的编写,李川负责情境3的编写,陈思海负责情境5的编写,何小河负责情境2的编写。全书由胥勋涛统稿。

由于编者经验不足,高职教育发展迅猛,故本书难免会存在不足之处,请各位读者批评指正。

本书作为“EDA技术”省级精品课程的配套教材,相关教学资源可以到绵阳职业技术学院精品课程网站查阅,网址:http://edajs.myvtc.edu.cn/(教育网),http://jpkc.myvtc.edu.cn/edajs(电信网)也可以到http://www.hxedu.com.cn网站免费下载。情境1 10分钟学会FPGA设计情境1任务单实施步骤 准备工作

安装Altera 公司QuartusⅡ8.1开发软件(参见EDA软件安装指南)。

准备FPGA开发板或实验箱(参见EDA开发板与实验箱简介)。软件设计与仿真

1.设计输入

QuartusⅡ支持原理图输入、波形图输入、硬件描述语言等多种设计输入方式,本例首先以VHDL语言方式进行表决器设计。

任何一个设计都是一项工程(project),都必须首先为此工程建立一个放置与此工程相关的所有设计文件的文件夹。

建立工程文件夹后,利用New project wizard 为工程指定工作目录、分配工程名称、指定顶层设计实体名称及设定工程的其他属性。(1)选择菜单“File→New project wizard”命令,打开建立新工程管理窗口,如图1.1所示。图1.1 新建工程向导

在弹出的工程设置对话框中第一栏设定工程文件存放的目录,如图1.2所示。图1.2 设置工程文件存放路径

第二行为新建工程的工程名,第三行为新建工程顶层文件的实体名,一般直接用顶层文件的实体名作为工程名,如图1.3所示。图1.3 设置工程文件名和顶层文件名(2)单击图1.3中的“Next”按钮,将设计文件加入工程(由于是新建工程尚无设计文件,此处继续单击下一步),如图1.4所示。图1.4 添加设计文件(3)选择设计使用的目标芯片。首先在“Family”栏选择芯片系列,然后在列表中选择目标芯片,如图1.5所示。图1.5 选择目标芯片(4)工具设置,此处可设置第三方的综合、仿真和时序分析工具。默认使用QuartusⅡ自带的设计工具,如图1.6所示。图1.6 第三方工具选择(5)结束设置,弹出工程设置统计窗口,统计窗口列出了新建工程设置的有关信息,查看无误后单击“Finish”按钮,如图1.7所示。图1.7 新建工程设置概况(6)新建工程完成后,鼠标单击新建文件(New),准备VHDL程序输入,如图1.8所示。图1.8 新建设计文件

在弹出的对话框中选择VHDL File,如图1.9所示。

在VHDL文件编辑窗口中输入和编辑源文件,如图1.10所示。图1.9 选择文件类型图1.10 文本编辑窗口

实现三人表决器的VHDL程序如下:

2.编译

源文件编辑完成后,就可以进行工程编译了,编译主要是对设计项目进行检错、逻辑综合、结构综合、输出结果的编辑配置和时序分析等。

单击“开始编译(Start compilation)”,如图1.11所示。图1.11 开始编译

编译中,左下角Task栏显示编译的进度,如图1.12所示。图1.12 编译中

编译完成,下侧Message栏显示编译的有关信息,如果有错误,必须进行修改,直至编译通过(出现Full Compilation successful提示框),如图1.13所示。图1.13 编译结束

在编译报告中可查看工程编译的有关指标,如图1.14所示。图1.14 编译报告

3.波形仿真

编译通过仅表示设计文件无语法或连接错误,设计功能是否实现,还需通过仿真来验证。波形仿真的目的,就是通过设定输入波形,验证输出结果是否与设计目的相符。

首先,新建波形仿真文件(File-New),选择“Vector Waveform file”打开波形编辑器,如图1.15和图1.16所示。图1.15 新建波形仿真文件图1.16 波形编辑器

设置仿真时间(Edit-End time),如图1.17所示。

在“End Time”窗口设定仿真时间,仿真时间一般设定在几十微秒至一毫秒,此处设定为1ms,如图1.18所示。

在波形编辑器中添加工程的端口信号名。在波形编辑器的Name区域的空白处双击鼠标,弹出“Insert Node or Bus”对话框,单击弹出对话框中的“Node Finder”,弹出“Node Finder”对话框,分别如图1.19和图1.20所示。图1.17 设置仿真时间(1)图1.18 设置仿真时间(2)图1.19 添加端口(1)图1.20 添加端口(2)

在图1.20中单击“Node Finder”对话框中的“List”,即可在左下角列出当前工程的所有端口,如图1.21所示。图1.21 选择端口(1)

将图1.21中端口“KD”和“PL”分别选中,单击中部“〉”移入右边“Selected Noder”窗口中,然后单击“OK”按钮,如图1.22所示。图1.22 选择端口(2)

在波形编辑器的“Name”区即可见到添加的端口,如图1.23所示。

总线形式的输入/输出端口可以单击“+”号展开(单击“-”号折叠)以便于观察,如图1.24所示。

编辑输入波形,编辑输入端口的输入信号,如图1.25所示。

试读结束[说明:试读内容隐藏了图片]

下载完整电子书


相关推荐

最新文章


© 2020 txtepub下载