模拟电子系统设计指南(基础篇):从半导体、分立元件到TI集成电路的分析与实现(txt+pdf+epub+mobi电子书下载)


发布时间:2020-05-24 04:13:29

点击下载

作者:何宾

出版社:电子工业出版社

格式: AZW3, DOCX, EPUB, MOBI, PDF, TXT

模拟电子系统设计指南(基础篇):从半导体、分立元件到TI集成电路的分析与实现

模拟电子系统设计指南(基础篇):从半导体、分立元件到TI集成电路的分析与实现试读:

前言

在即将完成本书编写之际,感慨颇多。我记得在读大学本科期间,于1996年第一次系统学习了模拟电子技术课程,讲这门课的张诚庆老师在不看讲稿的情况下,只用粉笔板书就可以一气呵成地完成整堂课的教学,并且当我问他问题时也可以把每个细节解释得非常清楚,他是一位令我尊敬的老师。同样,需要提起的是现任南京工业大学副校长的张进明教授,他不但给我上过本科的电路原理课程,而且给我上过硕士研究生的课程,是一位学术思想很活跃的老师,也正是他在1998年给我上硕士研究生课程时,我才第一次接触了Multisim Workbench工具,这也是我接触的第一个电子设计自动化工具。他们两位,算是我从事电子教学和科研工作的启蒙者。我的硕士导师刘凤新教授堪称模电领域的大师,他是一位学术思想活跃同时也是一位对学术要求很严的人,在读硕士期间他教会了我许多分析电路的方法,在他的指导下,我系统学习了模拟集成电路的测试方法,这些方法至今仍然使我受益匪浅。最后一位需要提及的,也是我最尊敬的一位老师,已经过世10年,是曾经指导过我的韩月秋教授,在我读博士期间,在他的悉心指导下,我从事电子信息相关领域研究的能力得到进一步提升。他是一位和蔼可亲同时也是一位学术造诣很深的大师,在电子界尤其是在雷达领域有着崇高的威望,为我们国家电子信息事业的发展贡献了毕生精力。正是在求学期间得到这几位老师的悉心指导,使得我具备了对电子信息领域很多问题进行深入理解和分析的能力。

从1996年第一次接触电子技术到现在,21 年过去了。在这21 年间,全球半导体技术取得了突飞猛进的发展,一直有条不紊地沿着摩尔定律指明的方向前进。同时,电子设计自动化工具被广泛地用于半导体和电子系统设计中。

但是,国内在模拟电子技术课程的教学内容和教学方法上,表现仍然乏力。主要体现在,教学手段方法落后,讲授内容不能反映最新的半导体技术发展。而模拟电子技术课程的教学质量直接影响电子信息类相关专业许多专业课程的教学效果,如控制原理、检测仪表、信号与系统、通信电子电路、电力电子等。这些课程连接在一起,将直接关系到国家所培养的电子信息专业学生的质量。

在当前具有丰富教学资源和教育手段的条件下,模拟电子技术课程仍然成为国内所有本科、高职和中专电子信息类相关专业最难学习的课程之一,也是学生反映最为强烈的一门课程。在我从教12年来,我的学生不断向我抱怨,课程抽象难学,且难以理解,然后他们就发现后面的很多专业课程无法学懂。当我们培养的这些学生毕业后,在不同的公司和科研机构从事相关工作时,他们面对的是力不从心,甚至无从下手的设计和技术支持工作。这也引起我深刻的思考,我在反复不断地思索这个问题,是不是我们在模拟电子技术课程方面的教学真的出问题了?是不是到了我们应该好好更新一下这门课程的教学手段和教学方法的时候了?在很多年前,我一直计划重新编写能反映最新模拟电子系统设计理论和设计方法的相关书籍。

在2016年,我相继得到美国德州仪器 (Texas Instruments) 公司大学计划、美国国家仪器(National Instruments) 公司大学计划和北京普源精电科技有限公司 (RIGOL) 大学计划的鼎力支持,它们分别给予经费、芯片、SPICE 仿真工具以及测试仪器方面的支持和帮助。此外,Microelectronic circuits analysis and design一书的作者Muhammad H.Rashid教授提供了教学资源和仿真测试源文件,他们的支持和帮助是我可以高质量完成本书编写的重要保证。

在编写本书的过程中,我的本科大四学生唐思怡、王中正全程参与,并构建硬件电路对本书所有章节的关键设计实例进行验证。在本书编写中,他们的作用之所以非常重要,就是因为通过与他们的沟通和交流,真正将教育心理学准确地应用到本书的编写中。此外,我的本科学生汤宗美为本书制作了教学课件和相关的资料。

在本书编写过程中,我有一些体会想在此和广大读者分享。(1) 模拟电子技术是所有电子信息类相关专业最核心的课程,是这些专业的学生可以高质量学习后续课程内容的重要保证,所以必须有充足的课时来保证教师有足够时间高质量地完成课程的教学任务,任何单纯缩减模拟电子技术课程课时的做法都是不明智的。教师的授课任务是讲授关键知识点和电路设计的思路,因此需要正确把握课程的主线。(2) 由于模拟电子技术涉及的理论知识点有很多,教师在讲授该课程时,要突破传统的理论教学模式,即通过Multisim提供的SPICE仿真工具,将这些抽象的理论知识点通过图表和数据直观地显示出来,做到理论和实际融会贯通,引导学生思考问题,这样将有利于学生对这些理论知识的理解。(3) 任何理论的讲解和仿真工具都不能代替硬件实验,在讲授课程时需要在关键知识点设计相关的实验,让学生通过实验真正建立电子元件和电子系统的 “物理概念”。通过实验,培养学生独立思考问题和解决问题的能力,这将有助于他们学习后续课程的内容。(4) 随着半导体技术的不断发展,要求教师能从 “系统级角度” 讲授模拟电子电路课程,虽然在国内高校一直沿用模拟电子技术基础这一名称,但更重要的是正确认识模拟电子技术课程所讲授的各个模块在整个模拟电子系统中的作用。

为了方便读者学习,本书配有相关的硬件设计案例、SPICE仿真设计案例,以及公开教学视频等学习资源。

可以这样说,本书的顺利完稿是产、学、研合作的典范,编写本书的目的在于解决教育界和工业界供需侧矛盾,使得教育界培养出来的模拟电子设计人才可以真正满足工业界的需求,真正地 “接地气”。

最后,感谢电子工业出版社各位编辑对本书出版给予的帮助和支持,由于我的水平有限,书中难免出现不足之处,请读者不吝指出,帮助我进一步完善本书的内容。

编著者

2017年4月于北京学习说明

Study Shows

1.本书配套教学资源,为读者提供学习服务

书中所提供的配套设计案例、教学课件和公开教学视频,可以通过作者的维基网站获取。

http://www.edawiki.com

2.本书作者联络方式,为读者解答学习过程中遇到的问题

何宾的电子邮箱:hb@gpnewtech.com

3.本书配套硬件及仪器设备购买事宜由北京汇众新特科技有限公司负责,为企业和教师提供配套的硬件设备

北京汇众新特科技有限公司网站:http://www.gpnewtech.com

市场及服务支持热线:010-83139076 010-83139176

4.课程的培训事宜由北京汇众新特科技有限公司负责,为企业和教师提供相关的培训课程服务

北京汇众新特科技有限公司网站:http://www.gpnewtech.com

市场及服务支持热线:010-83139076 010-83139176

电子邮箱:hb@gpnewtech.com

5.作者的微信公众号

6.为方便读者学习交流,作者建立了微信交流群,扫描二维码邀请加入第1章 模拟电子技术绪论

作为本书的开篇部分,本章重点介绍电子技术的发展历史、模拟电子技术的目标、模拟电子系统的评价和分析方法。

通过本章内容的学习,读者可以从整体上把握电子技术发展的方向,以及认知电子技术的方法。1.1 电子技术的发展历史

在电子技术漫长的发展道路上,科学家首先发现了自然界 “电” 和 “磁” 内在的规律,然后不断地寻找、验证和实现这些规律的物质和方法,从而推动电子信息技术的不断发展。表1.1给出了电子技术发展历史的一些关键事件,从而帮助读者认知电子技术发展的规律。表1.1 电子技术发展历史 (时间截止到1979年)

从表1.1中,提炼出电子学发展的几个关键阶段。(1) 1906年电子三极管的发明奠定了现代电子学的基础。从此,人类开始真正地进入到电子时代。以后出现了最早的收音机电路,包括1920年出现的超外差接收机,1925年出现的电视机,1925年发明了场效应器件,1933年发明了调频技术,1940年出现了雷达。(2) 1947年硅晶体管的发明标志着第一次电子革命,至此开启了现在电子技术的新篇章。1950年出现了彩色电视机。(3) 1956年晶闸管的发明,开启了电力半导体器件和转换技术的新篇章。(4) 1958年第一片集成电路的发明,开启了微电子技术的革命。随着这项发明的诞生,在1968年出现了第一个商用运算放大器IC。

电子管、晶体管和集成电路外观,如图1.1 所示。从电子管进化到晶体管,再到集成电路,使得模拟电子电路的成本和功耗不断降低、面积不断减少、性能不断提高。因此,可以说,半导体技术是整个电子信息技术发展的原动力,也是信息技术得以不断发展的重要基础。图1.1 电子管、晶体管和集成电路外观

前面提到摩尔定理全面、系统地阐述了半导体的发展规律,自从半导体发明以来,到目前为止,半导体技术的发展一直服从于这个规律。自从1979年后,半导体技术飞速发展,使得集成电路成为电子系统的基本组成部分。集成电路的发展主要体现在集成电路的密度不断增加,功耗不断降低,成本不断降低,时钟频率不断提高。根据器件集成度的规模,将其发展过程划分为几个阶段,如表1.2所示。表1.2 集成电路的发展阶段1.2 模拟电子技术的目标

在电子信息类相关专业都开设有电路原理、模拟电子技术和数字电子技术公共专业基础课程。在此基础上,电子信息工程和通信工程专业会开设信号与系统、通信电子电路、通信原理课程;自动化专业会开设控制原理和电力电子课程,如图1.2所示,图中箭头方向表示知识的依赖程度关系。图1.2 模拟电子技术课程对其他课程的影响和依赖关系1.2.1 模拟电子技术的基础地位

从图1.2中可以看出,这些课程都有包含一个共同的字——电。但是,这些课程要解决的问题和目标又截然不同。(1) 电路原理解决的问题是阐明电路运行的基本规律,这些规律常以定理的形式出现,比如读者熟悉的欧姆定律、基尔霍夫电压定律 (Kirchhoff Voltage Law,KVL) 和基尔霍夫电流定律 (Kirchhoff Current Law,KCL)、戴维南定理和诺顿定理等。在电路原理中,构建了很多电路来讲解这些知识。

很明显,在这些电路中使用的是无源器件,也称为被动器件 (Passive Device),包括:电阻、电容、电感、变压器,以及后面所介绍的二极管。这些元器件的共性是不能通过其他电信号来控制流过它的电流。对于被动元件来说,存在线性的电压和电流关系,如电阻;此外,也存在非线性的电压和电流关系,如二极管。(2) 模拟电子技术解决的问题是阐明晶体管放大器的工作原理和应用。模拟电子电路是阐明模拟电子技术的基础。对于模拟电子电路来说,其输入和输出信号在一段时间内具有连续范围赋值特性。模拟电子电路的功能是以尽可能小的失真处理和传输包含在模拟输入信号中的信息。

与电路原理使用无源器件不同的是,在模拟电子电路中一定包含着有源器件,也称为主动器件 (Active Device)。对于有源器件来说,可以通过其他电信号改变流经有源器件的电流。典型的有电子管、晶体管、可控硅/晶闸管 (Silicon Controlled Rectifier,SCR) 等。对于所有的有源器件来说,都可以通过某种方法来控制流经它的电流。一些有源器件使用电压来控制电流,而其他有源器件可以使用其他电流作为控制信号。使用电压作为控制信号的器件称为电压控制元件;而使用电流作为控制信号控制其他电流的器件称为电流控制元件。

从模拟输入信号中提取所需要的信息是模拟电子电路的任务和目标,这是模拟电子电路设计和分析的根本点和出发点。(3) 数字电子技术解决的问题是阐明当晶体管工作在 “导通” 和 “截止” 状态下的原理和应用。数字电子电路是阐明数字电子技术的基础,对于数字电路来说,输入和输出信号都是在时间上均匀分布的不连续脉冲信号。数字电路的功能是以最少的错误和最快的速度传输和处理包含在数字输入信号中的信息。

进一步讲,基于基本的数字逻辑理论和数字逻辑电路基础,使用硬件描述语言 (Hardware Description Language,HDL) 描述复杂数字系统。

当学习完模拟电子技术和数字电子技术的课程后,就具备了设计模拟和数字混合系统的能力。这个能力是学习后续课程的基础。(4) 通信电子电路是以模拟电子电路为基础的,与模拟电子不同的是,通信电子电路的负载是谐振回路,其工作在较窄的工作频率范围内,并且工作频率比模拟电子电路要高。通信电子电路的功能是将模拟电子电路的低频信号进行调制后发送,以及将接收到的高频调制信号进行解调,解调完的低频信号就可以使用模拟电子技术的方法进行处理了。此外,与模拟电子技术的分析方法也有所不同,这点也要特别注意。(5) 电力电子解决的问题是阐明功率半导体器件的工作原理和应用,包括作为电力控制和转换的 “导通” 和 “关断” 开关的功率晶体管。模拟和/或数字电子技术 (复杂数字系统)用于产生开关功率器件的控制信号,以便完成所期望的转换策略 (AC/DC、AC/AC、DC/AC或DC/DC),并具有最大效率和最小波形失真。电力系统的输入是直流或交流电源电压 (或电流)。电力电子主要关注功率和质量,而不是信号中所包含的信息。例如,电力电子电路可以提供稳定的直流电源,如从120 V@60 Hz的交流电源上提供模拟系统的12 V和数字系统的5 V直流电源。

另一个事实就是以微电子技术为基础的半导体构成对模拟电子技术和数字电子技术的强有力支持,半导体制造和设计技术的不断发展成为现在电子信息技术不断发展的原动力。

同时,以模拟电子技术和数字电子技术为基础的数字和模拟混合设计方法是构成复杂电子系统的基础。1.2.2 模拟电子技术的知识点结构

模拟电子技术的基础是模拟电子电路,对于一个完整的模拟电子电路来说,应该包含电源、小信号放大器、滤波器、功率放大器和振荡器等基本的模拟处理单元,如图1.3所示。图1.3 模拟电子技术的知识点结构

从图1.3中可以看出,构成模拟电子技术最基本的是半导体材料PN节,由PN节可以构成二极管。在此基础上,构成以双极结型晶体管 (Bipolar Junction Transistor,BJT) 和金属氧化物半导体场效应管 (Metal Oxide Semiconductor Field Effect Transistor,MOSFET) 为代表的三极管。二极管和三极管是构成模拟电子电路基本单元的基础。基于二极管和三极管可以直接构成模拟电子电路的功能单元,包括晶体管小信号放大电路、晶体管功率放大电路、晶体管电源电路、晶体管振荡电路。

随着模拟集成电路设计技术的不断发展,可以将多个二极管和晶体管集成在单个芯片中,制造出模拟集成运算放大器芯片、模拟集成功率放大器芯片、模拟电源管理芯片。基于这些芯片,可构成振荡器、放大器、滤波器、功率放大器和电源管理器模块。

模拟集成芯片的出现,大大简化了模拟电子电路的设计。但是,到目前为止,模拟集成芯片仍然不能完全取代分立晶体管构建的模拟电子电路。尤其是对于一些高电压、强电流的应用场合。因此,在一个模拟电子电路系统中,读者经常能看到由分立晶体管所构造的模拟电子电路单元。

因此,在模拟电子技术中,介绍PN结、二极管和三极管的原理,不但帮助读者从最底层的原理掌握模拟电子电路的工作基础,而且可以帮助读者理解模拟集成芯片的设计原理,从而能够系统掌握模拟电子技术的精髓。

在这里要特别强调小信号放大电路和功率放大电路的区别。(1) 小信号放大电路往往作为输入和/或中间级,以获得较大的电压增益或电流增益。放大器中的晶体管工作在有源区,这样其小信号模型是有效的。对于小信号放大器来说,不要求输入及中间级提供可观的功率,并且经过放大后的信号不能产生失真。

电压增益表示为:

式中,v为输出电压;v为输入电压。oi

电流增益表示为:

式中,i为输出电流;i为输入电流。oi(2) 功率放大器一般作为输出级,必须提供相当可观的功率,以便能够驱动低阻抗负载,比如扬声器。同样,输出信号的失真也必须足够低。对于功率放大器来说,读者关心的问题是功率放大器的输出功率和效率。功率放大器的效率η表示为:

式中,P为负载功率;P为电源功率。LS1.2.3 模拟电子技术的研究角度

根据研究问题的不同角度,将模拟电子技术所实现的目标分类如下。

1.有源器件特性

前面提到,晶体管是典型的有源器件。研究器件特性的目的就是使得它能 “正常” 工作,这就涉及直流和交流两方面的问题。

对于有源器件来说,直流的主要作用是为有源器件提供合适的工作状态,该工作状态通常称为直流工作点。该直流工作点决定了有源器件工作在模拟电子电路所需要的放大区域的中间。而交流就是叠加在这个工作状态点上变化的信号,该信号中包含模拟电子电路所要提取的信息。当叠加在直流上的交流变化时,直流工作点所确定的有源器件工作状态特别重要。一旦这个直流工作点所确定的有源器件初始工作状态不正确时,就会造成输出交流信号的失真。

这就是为什么在模拟电子技术中,只要是介绍有源器件工作原理时,首先分析直流工作点,然后再分析交流工作特性的原因。

进一步讲,在整个模拟电子电路中,同样也是先分析直流工作特性,然后再分析交流特性的。

2.放大和滤波

从信号处理的角度来说,模拟电子电路就是对输入信号进行处理。对输入模拟信号的处理,主要涉及两个方面的问题。(1) 信号放大,即把输入到模拟电子电路中的微弱模拟信号进行 “无失真” 的放大。对输入的模拟信号可能需要一级或多级放大,这与模拟电子电路中元器件的性能参数和工作状态有关。

信号的绝对无失真放大其实是一种理想状态,实际上很难达到这种状态,如图1.4所示。从时域信号来说,失真可以表现为信号形状、幅值或相位的改变。图1.4 (b) 所示的输出电压由于系统供电电源电压的限制而产生的削波现象,图1.4 (c) 所示的输出电压由于电路在零点附近失效而产生交越失真现象,图1.5 (d) 所示的输出电压由于电子元器件的非线性而造成的谐波失真。图1.4 各种放大失真

上面的波形 “扭曲” 是 “失真” 现象的直接表征。但是,实质上失真是由于输出信号中增加/去除了输入信号中所包含的频率分量而造成的。

测量失真的方法,是在被测电路的输入端输入正弦信号,然后测量输出信号中的基频和谐波分量。在模拟电子电路中失真度使用 “总谐波失真” (Total Harmonic Distortion,THD),它为谐波成分的均方根 (Root Meam Square,RMS)值与基频成分 (正弦输入频率) 均方根值的比值。在一个放大电路中,要求THD应尽可能低。

下面通过一个方波信号来说明这个问题。方波信号的波形,如图1.5所示。图1.5 方波信号的波形

图中:T为方波信号的周期,其周期角频率ω表示为:0

对于周期性的方波信号来说,其时域表达式为:

式中,n为整数。将上式用傅里叶级数展开为:

从式 (1.2) 中可以看出,方波信号含有直流分量V/2。ω为该s0信号的基频,其分量大小为,其余频率分量3ω、5ω…称为谐00波频率,其分量大小分别表示为、…。

从式 (1.2) 可以进一步理解,不同分量大小的正弦信号叠加就可以合成一个周期性的方波信号。推而广之,正弦和余弦信号是构成所有复杂信号的基础。

为了更形象地表示式 (1.2) 各个正弦频率分量的大小,可以使用频谱图进行描述,如图1.6所示,该图给出了不同频率分量的大小。通过不同频率所对应的分量大小——幅值,可以知道每个频率分量对方波信号的贡献程度,即功率。换句话说,通过改变不同频率分量的幅值就可以合成不同的信号,这就是问题的本质所在。图1.6 方波信号的频谱图

通过上面的分析可以更清楚地知道这个事实,为什么在模拟电子世界中会有各种各样的信号波形,其实这是由于不同频率信号的分量对最终信号的 “贡献” 大小不同造成的。(2) 信号滤波,即从输入到模拟电子电路中的微弱信号中提取有用的信息。在输入到模拟电子电路的模拟信号中,包含丰富的信息,如幅值、相位和频率等。通过模拟电子电路,可以从模拟输入信号中过滤不需要的信号,而保留有用的信号,这个过程在模拟电子电路中称为“滤波”,实现滤波功能的模拟电子电路单元将其称为 “滤波器”。

3.开环和闭环系统

如果从系统的角度来说,模拟电子电路可以看作一个 “黑盒”,也称为 “系统”。对于一个开环系统来说,经过 “黑盒” 输出的模拟信号y(t)只和当前进入到黑盒的模拟信号x(t)有关,如图1.7 (a) 所示;而对于一个闭环系统来说,经过 “黑盒” 输出的模拟信号y(t)不但和当前进入到黑盒的模拟输入信号x(t)有关,还与以前进入到黑盒反馈端的模拟输出信号有关,如图1.7 (b) 所示。在模拟电子电路中,将输出与黑盒另一端输入关联的路径称为 “反馈路径”。

4.系统稳定性

对于一个存在反馈的模拟电子电路来说,最重要的一个指标就是系统的稳定性。在后面的分析中可以知道,当一个模拟电子电路 (系统) 存在反馈路径时,存在下面3种情况。(1) 整个系统变得 “更加稳定”。(2) 整个系统变成 “等幅振荡”。图1.7 开环系统和闭环系统(3) 整个系统变成 “发散振荡”。

对于模拟电子电路中的放大器来说,读者希望的是第 (1) 种情况;而对于模拟电子电路中的振荡器来说,我们希望的是第 (2) 和 (3) 种情况。在除了振荡器的应用场合外,读者都希望在模拟电子电路中增加反馈路径时,系统变得更加稳定,而不是使得系统变得不稳定。当系统变成不稳定状态时,就会出现第 (2) 或者第 (3) 种情况。

对于系统稳定性的分析,会贯穿整个模拟电子技术的各个知识点中。

从更深层次来认识模拟电子电路,模拟电子技术既是学习信号与系统课程的基础,也是学习和理解控制理论课程的重要基础。所以在学习模拟电子技术的各个知识点时,要善于从整体上,从系统的角度把握模拟电子电路的实现本质。1.3 模拟电子系统的评价和分析方法

当设计完模拟电子电路后,需要从不同的方面对其进行评估。主要分为理论分析和仪器测试两方面。理论分析用于指导实际的模拟电子系统设计和使用仪器对系统的实际测试,而仪器对系统的实际测试的结果反过来又帮助读者掌握模拟电子技术的理论知识,两者是相辅相成的关系。1.3.1 理论分析方法类型

早期,由于条件所限,工程师通过晶体管的小信号模型,获得小规模模拟电子电路电压增益、电流增益、输入阻抗、输出阻抗、频率响应特性等。然后,再将它们连接起来构成一个复杂的模拟电子系统。这种方法在模拟电子技术发展早期是非常有效的。但是,随着半导体技术的不断发展,这种通过人工计算的方法就显得效率很低。

随着计算机性能的不断提高,电子设计自动化 (Electronic Design Automation,EDA) 工具成为电子系统设计和分析强有力的助手,用于取代传统的手工计算方法,显著地提高了系统设计和分析的效率。

以集成电路为重点的仿真程序 (Simulation Program with Integrated Circuit Emphasis,SPICE),它是为了执行日益庞大而复杂的集成电路仿真工业而发展起来的,它是一个通用的、开源的模拟电子电路仿真工具。SPICE是一个程序,它用于集成电路芯片和电子系统设计,并且可以用于检查电路设计的完整性,以及预测电路的行为。

SPICE最早由加州大学伯克利分校开发,1975 年改进成为SPICE2 的标准,它使用FOR-TRAN语言开发。在1989年,Thomas Quarles开发出SPICE3,它使用C语言编写,并增加了X窗口系统绘图功能。

在目前广泛使用的NI公司的Mutisim软件、Altium公司的Altium Designer软件和Cadence公司的OrCAD软件中都嵌入了SPICE仿真工具。

在SPICE仿真工具中,包含下面的模块:(1) 电路原理图输入程序;(2) 激励源编辑程序;(3) 电路仿真程序;(4) 输出结果绘图程序;(5) 模型参数提取程序;(6) 元器件模型参数库。

SPICE的基本分析功能包含3大类:直流分析、交流分析和时域分析。特别要注意的是这些理论分析方法和实际的测试仪器之间有着一一对应的关系,这些分析方法也将贯穿在对本书后续内容的讲解中。

1.直流分析

直流分析包括以下内容。(1) 直流工作点分析:用于测量在电路中包含电感短路和电容开路的直流工作点。这说明了进行直流工作点分析的先决条件。(2) 直流扫描分析:测量直流转移特性。当输入信号在一定范围内变化时,输出一个曲线轨迹。通过执行一系列的直流工作点分析,设计者可以修改所选定信号源的电压,从而得到一个直流传输曲线。(3) 传递函数分析:也称为小信号分析,它将计算每个电压节点上的直流输入电阻、直流输入电阻、直流输出电阻和直流增益值。

下面对直流和小信号规范进行说明,以帮助读者准确理解前面所介绍的分析方法。

交流和小信号规范包含直流电源电压V、直流偏置电流 (用于CC启动晶体管以及工作) 和功耗P (直流电源提供的功率)。通常需D要指定电压增益,即V和V的比值。两者之间是线性的,如图1.8 OI(a) 所示,且电路工作在静态点Q,电压增益由下式给出:

A称为 “大信号电压增益”。对于后面介绍的晶体管来说,它V的特性图通常是非线性的,如图1.8 (b) 所示,电路工作在静态工作点Q点。使输入信号在很小的范围内变化,以便V~V 关系是线OI性的,将电压增益称为小信号增益A ,表示为:V

在模拟电子电路中,特别是在放大器中,通常工作在特性中一个特性的线性范围。图1.8 大信号和小信号特点

2.交流分析

交流分析是在一定的频率范围内计算电路的响应。如果电路中包含非线性器件或者元件。在计算频率响应之前,就应得到该元器件的交流小信号参数。在进行交流分析前,必须保证电路中至少有一个交流信号源。

理论上,交流分析等效于使用频谱分析仪对电路进行频域分析,如图1.9所示。图中A表示通带内的电压增益,Z为输入阻抗,Z为PBIO输出阻抗。图1.9 典型的频率特性

图1.9 (b) 所示是一个典型的频率响应特性,它描述了电压增益与频率之间的关系,即幅值-频率响应特性。从图中可以看出,当输入信号V的频率在 [f,f] 之间变化时,电压增益保持不变,而当ILH输入信号的频率小于f或者大于f时,可以看到电压增益明显减小。LH在本书后面介绍滤波器的内容时,将滤波器的这种频率特性称为带通特性。当f =0时,称模拟电子电路 (滤波器) 具有低通特性;当f=LH∞时,称模拟电子电路 (滤波器) 具有高通特性。

3.瞬态分析

瞬态分析是指对指定输入信号的响应而产生的输出信号,实际上就是在时域进行分析,理论上,瞬态分析等效于使用示波器对电路进行时域分析。与交流分析不同的是,对于瞬态分析来说,在一个时间段内,输入信号V的频率是不变的,然后观察输出信号V 随时间的IO变化情况。

4.傅里叶分析

傅里叶分析是在瞬态分析的基础上,分析信号中的基波和谐波分量。

5.阻抗特性分析

阻抗特性分析将显示电路中任意端点和源点之间的阻抗特征,它通常作为交流小信号分析的一部分。通过输入电源电压值除以输出电流值,可以得到阻抗测量值。当SPICE进行阻抗特性分析时,执行下面的行为。(1) 从输入端删除信号源。(2) 输入电源与地短接。(3) 删除所有接入电路的负载。(4) 连接输出两端的电源,即正电源连接到输出端,负端接地。

6.噪声分析

通过利用噪声谱密度,噪声分析测量由电阻和半导体器件引起的2噪声影响,通常用V/Hz表征测量噪声值。电阻和半导体器件均可以产生噪声,噪声电平取决于频率。电阻和半导体器件会产生不同类型的噪声。特别注意的是,在噪声分析中,将电容、电感和受控源看作无噪声元器件。

对交流分析的每一个频率,计算电路中每一个噪声源 (电阻或晶体管) 的噪声电平,通过将各均方根值相加,得到它们对输出节点的贡献。

7.零极点分析

在单输入/输出的线性系统中,利用电路的小信号交流传输函数,通过计算极点/零点,用零点-极点 (Pole-Zero) 分析稳定性。将电路的直流工作点线性化,然后对所有非线性器件匹配小信号模型。传输函数可以是电压增益 (输出与输入电压之比) 或阻抗 (输出电压与输入电流之比) 中的任意一个。

8.蒙特卡洛分析

蒙特卡洛分析是一种统计模拟方法,它是在给定电路元器件参数容差为统计分布规律的情况下,用一组伪随机数求得元器件参数的随机抽样序列,然后对这些随机抽样的电路进行直流扫描、直流工作点、传递函数、噪声、交流小信号和瞬态分析,并通过多次分析结果估算出电路性能的统计分布规律。

在蒙特卡洛分析的基础上,可以执行最坏情况分析。

9.温度扫描

温度扫描是指在一定的温度范围内计算电路参数,用来确定电路温度漂移等性能指标。

10参数扫描

参数扫描可以与直流、交流或瞬态分析等配合使用,为研究电路参数变化对电路性能的影响提供了便利。在分析功能上与蒙特卡洛分析和温度分析类似,它按扫描变量对电路的所有分析参数进行扫描。分析结果将产生一个数据列表或一组曲线图。1.3.2 理论分析方法的实质

理论分析方法的核心就是直流分析、瞬态分析、交流小信号分析。此外,还应包含统计域分析。这些分析方法,其实质体现着信号与系统的辩证关系。下面通过一个例子进行详细说明。

1.在时域分析问题

使用有源器件实现的模拟微分器,如图1.10所示。其输入电压Vi和输出电压V的关系表示为:o

式中,K为微分器时间常数。很明显这是一个时间域的表达式。通过该式可知,当给定一个时域输入信号V (t)时,通过该式就可i以得到输出信号V (t),如图1.11所示。o图1.10 模拟微分器图1.11 微分器输入和输出的关系

从另一方面来说,当输入信号V (t)变化很快时,为了防止V io(t)的值过大,可以减少K的值,这就是在自动控制原理中介绍的用于微分作用的微分系数的值大小和输入信号的变化快慢有关。当信号变化过快时,减少K值,降低微分作用;而当信号变化过慢时,增加K 值,增强微分作用。

2.在频域分析问题

从式 (1.5) 可以看出,当输入信号V(t)变化得越快,通过微i分后,得到的输出V(t)幅值越大。典型的,当输入信号为直流时,o输出为0。随着V (t)变化率增加,输出V (t)越大的幅值。如果io从频率的角度分析,就是前面提到的 “滤波器” 概念。很明显,微分器属于高通滤波器。

将式 (1.5) 用拉普拉斯变换后,表示为:

当s=jω时,将式 (1.1) 用频率表达式:

通过该式,绘制出与频率的变化关系,如图1.12所示。

可以看出,对于一个给定的模拟电子电路 (系统)来说,可以从不同的角度进行研究。交流小信号 (频域) 分析目的所关注的是频谱特性。相比较来说,瞬态分析目的所关注的是时域特性。

试读结束[说明:试读内容隐藏了图片]

下载完整电子书


相关推荐

最新文章


© 2020 txtepub下载