数字逻辑课程设计实训教程(工业和信息化普通高等教育“十二五”规划教材立项项目)(txt+pdf+epub+mobi电子书下载)


发布时间:2021-01-29 09:15:10

点击下载

作者:师亚莉,陈东(编著)

出版社:高等教育出版分社

格式: AZW3, DOCX, EPUB, MOBI, PDF, TXT

数字逻辑课程设计实训教程(工业和信息化普通高等教育“十二五”规划教材立项项目)

数字逻辑课程设计实训教程(工业和信息化普通高等教育“十二五”规划教材立项项目)试读:

前言

随着数字技术的迅速发展,数字电路的应用日益广泛,数字控制系统、数字测量系统、数字通信系统等应用已经深入到各个领域。数字逻辑课程设计是数字技术应用重要的技术基础课、技能训练课,我们编写本书的指导思想是从实际教学出发,站在应用的角度,深入浅出地介绍数字逻辑系统设计的基础知识、基本理论和基本方法,指导学生循序渐进地独立完成数字逻辑系统的设计,并结合EDA技术,介绍最新的数字系统设计方法。本书以Multisim 10.0、QuartusⅡ软件为平台,介绍了FPGA/CPLD 器件、Verilog 硬件描述语言等现代数字系统设计的相关知识,以大量经过验证的数字设计实例为依据,系统地阐述了数字系统设计的方法与技术。

本书的最大特点是针对具体设计课题给出设计思路,在设计过程中,不是简单地罗列答案,而是就实际问题提供多种解决途径,并采用启发性的语言,引导学生进行独立思考。同时还提供了一些备选设计题目,这些题目没有给出具体设计方法,仅提供了设计框图和参考器件,目的是给学生留下独立思考的空间。

本书紧密联系教学实际,着眼于实用,实例丰富,并瞄准电子线路设计领域主流的设计思想和技术,能够将学生的纯理论知识转化为更有意义的实践能力,有利于扩展学生的视野和培养学生的独立研究能力,符合电子类专业人才的培养目标。

本书由师亚莉统稿。第1章~第4章由师亚莉编写,第5章~第7章由陈东编写。在这里向参与过教学并给予帮助的人们表示衷心感谢。

数字电路的发展与知识的更新速度很快,由于编写时间仓促,编者水平有限,书中疏漏乃至错误之处在所难免,恳请广大读者批评指正。编者2011年11月

第1章 绪论

毫不夸张地说,人类已跨入了数字化时代,数字化是信息社会的技术基础,数字技术正在引发一场范围广泛的产品革命,如数字电视、数字广播、数字电影等。随着数字技术的迅速发展,数字电路的应用日益广泛,数字技术无处不在。由数字电路组成的数字控制系统、数字测量系统、数字通信系统以及数字计算机已经深入到各个领域。数字逻辑系统的课程设计是数字技术应用重要的技术基础课、技能训练课。通过本课程的学习,不仅能使学生掌握有关数字逻辑系统设计的基础知识、基本理论和基本方法,并能结合EDA技术,熟悉最新的数字系统设计方法。

1.1 数字逻辑课程设计的性质与目的

“数字逻辑课程设计”是面向电子信息类专业的一门独立设课、有独立学分的实践性课程,担负着理论和实验教学汇合衔接的重要任务,是在“数字电路实验课”的基础上,进一步深化的实践教学环节。

该课程的主要任务是在“数字电路与逻辑设计”课程学习后,使学生通过一个完整的数字系统的设计、安装和调试,将孤立、零碎的电路及电子学知识融合到实际的产品中去,在设计中进一步理解数字系统的概念,掌握小型数字系统的设计、组装和调试方法。着重提高学生工程实践的动手、创新和进行综合设计的能力。巩固数字电子技术所学理论,为工程训练奠定坚实的基础。同时培养学生查阅有关资料的技能,实现理论知识向科研开发能力的跨越,并养成实事求是、一丝不苟的工作作风及严谨认真、团结协作的科学态度。

1.2 数字逻辑课程设计的基本理论

本课程设计以数字电路逻辑设计的基本理论为指导,应具备的相关理论知识包括:脉冲的基本概念,数字和进制的基本概念,TTL、CMOS类型等常用器件的性能特点;组合、时序逻辑电路的基本概念及设计方法等。特别要加强数字系统的概念,从系统的层次分析问题,解决问题。基本方法除了实验课中要求掌握的功能测试、故障排除等各种一般方法外,要特别注重使用系统集成方法,并学会使用各种电子设计自动化(Electronic Design Automation,EDA)工具。

1.3 数字逻辑课程设计的任务要求

本课程的基本任务是设计一个小型数字电子系统,要求单人完成。步骤如下。

①选择一个设计课题。

②多渠道查阅有关资料,进行设计方案可行性论证。

③比较各种设计方案,订出最优方案。

④分解总体方案为多个功能子模块并进行设计。

⑤完成各个功能模块电路的安装、调试及完整系统的联调。

⑥撰写设计报告。

1.4 数字逻辑课程设计题目与考核

为了满足不同层次学生对设计的要求,我们给出两类课题。一类是数字系统经典设计课题,学生从中任选一题完成;另一类是创新性课题,学生可以根据自己的兴趣及研究方向,自行拟出创新性课题来实现。

1.4.1 课程设计课题

课程设计课题主要有两大类。

1.给定课题

● 红绿灯交通信号系统

● 数字抢答器

● 多路彩灯控制器

● 数字频率计

● 电子钟

● 温度控制器

● 多功能定时器

● 二进制数密码锁系统

2.发明、创新性课题

发明、创新性课题要求学生根据数字电路研究和开发方向,充分挖掘自己的创造性思维潜力,自行拟出课题。

1.4.2 课程设计考核方式

数字逻辑课程设计成绩分为优、良、中、及格、不及格5个档次,成绩的评定主要按照以下情况综合决定。

①学生独立设计电路的能力,即课题设计的创新性和复杂程度。

②设计和制作电路完成情况及布线工艺。

③系统调试与运行结果。

④回答问题及总结设计报告的质量水平。

课程设计的时间安排及设计报告撰写要求详见附录。

第2章 数字逻辑设计基本知识

我们知道,从信号处理的性质上看,电子电路可以分为模拟电路和数字电路。模拟电路处理的是连续变化的模拟电压或电流信号,数字电路处理的是逻辑电平信号,因此数字电路系统比模拟电路系统具有更高的信号抗干扰能力。数字电路又叫做数字逻辑电路,它是组成数字逻辑系统的硬件基础。

2.1 数字电路基本知识

数字电路处理的是逻辑电平信号。对于数字电路,必须掌握其基本特征、技术特性及集成逻辑门电路的应用技术特征等,才能在实际的工程使用中做到游刃有余。

2.1.1 数字电路基本特征

从信号处理的性质来看,现代电子电路可以分为模拟电路和数字电路。模拟电路所能处理的是模拟电压或电流信号,数字电路是指只能处理逻辑电平信号的电路,因此,数字电路又叫做数字逻辑电路,是组成数字逻辑系统的硬件基础。由于数字电路处理的是逻辑电平信号,从信号处理的角度看,数字电路系统比模拟电路系统具有更高的信号抗干扰能力。

从电子系统要实现的工程来看,任何一个工程系统都可以被看成是一个信号处理系统,而信号处理的基本概念实际上就是一种数学运算。数字电路的工程功能,就是用硬件实现所设计的计算功能。目前,数字电路已经成为现代电子系统的核心和基本电路,掌握数字电路的基本工作特点和行为特性,是掌握现代电子系统的基础之一。数字电路的基本性质归纳如下。

1.严格的逻辑性

数字电路是一种逻辑运算电路,其系统描述是动态逻辑函数,因此数字电路设计的基础就是逻辑设计。

2.只有“高”和“低”两种逻辑电平

数字电路是一种动态的逻辑运算电路,其基本信号就是脉冲逻辑信号,只有高电平和低电平两种状态。

3.逻辑“0”或“1”对应的电平值随使用的实际电路不同而不同

4.严格的时序性

为实现数字系统逻辑函数的动态特性,数字电路各部分之间的信号必须有严格的时序关系。

5.固件特点明显

固件是指电路的结构和运行靠软件控制完成的电路或器件,与传统的数字电路完全不同,它是现代电子电路、特别是数字电路或系统的基本特征,也是现代电子电路的发展方向,例如可编程逻辑器件和单片机等。

2.1.2 数字电路技术特性

数字电路的技术特性与电路工艺有关。只有了解了数字电路的技术特性,才能设计和描述一个数字逻辑电路系统,才能正确确定数字电子系统所需要的电路器件。因此,数字电路的技术特性,是数字系统设计、分析和调试技术的基础。数字电路可以实现各种处理数字信号的逻辑电路系统,从系统行为上看,数字电路分为组合逻辑电路和时序逻辑电路。

1.组合逻辑电路的基本特点

①电路信号的输出仅与当前输入有关,与信号输入和电路输出的历史无关。

② 组合电路所关心的只是输入信号稳定后电路输出的状态,而对输入信号的变化过程并不关心。

组合逻辑电路是一种无反馈的数字逻辑电路,是实现各种逻辑系统的基础,也是实现时序电路的基础。影响组合电路正常工作的一个重要因素是系统的工作速度,这是组合逻辑电路设计中必须注意的一个问题。

2.时序逻辑电路的基本特点

①电路具有信号反馈(输出信号以某种方式反馈到输入端)。

②系统工作状态受信号延迟的影响。

③系统当前输出不仅与当前输入有关,还与系统的上一个状态有关。

时序电路的分析方法是状态分析(如利用状态表或状态图),基本设计技术则是以系统状态为基础。时序电路的调试,主要是通过观察系统的状态来分析系统的功能和性能。

2.1.3 集成逻辑门电路的应用技术特征

数字电路中使用的基本器件是数字集成电路(IC),集成电路的技术特点是以实现逻辑功能为目标。一个数字电路能否满足设计要求,主要取决于数字集成电路的电路功能与技术参数指标。集成逻辑门电路在使用中要注意以下几个方面的技术特征。

1.电路工艺类型

近年来集成电路发展迅速,性能与价格之比不断提高。按照每片上所包含逻辑门的数量,可将数字集成电路分为小规模(SSI)、中规模(MSI)、大规模(LSI)和超大规模(VLSI)集成电路。

按照集成器件类型不同,可将其分为双极型和 MOS 型集成电路。双极型集成电路是利用电子和空穴两种载流子导电的,是以三极管为2基本元器件设计的集成电路,主要有TTL、ECL、IL、HTL;MOS集成电路是以场效应管为基本元器件设计的数字集成电路,是只用一种载流子导电的电路。其中用电子导电的称为NMOS 电路;用空穴导电的称为PMOS电路;如果是用NMOS及PMOS 复合起来组成的电路,则称为CMOS电路。常见的MOS集成电路有PMOS、NMOS、CMOS、HC、HCT电路。集成电路中占主导地位的逻辑门电路是TTL、CMOS、HCMOS和ECL。

常用的TTL、CMOS、ECL集成电路在功耗、速度上有较大差别,应根据逻辑系统的实际需要、市场供应情况来选择电路类型。ECL器件工作效率很高,但功耗较大,一般在高速电路中使用。在TTL系列器件中,LSTTL的功耗与速度的乘积最小,一般选用74LS系列。CMOS器件功耗低,HCMOS高速系列的速度可与TTL相比,并且抗干扰能力强。HCT系列CMOS电路与TTL电路的管脚兼容,但电平却不兼容。使用数字逻辑器件时要注意,不同类型逻辑电路的逻辑电平幅度、门延迟时间、上升沿速度、驱动能力、电源标准等都可能不相同,一般不能直接混合使用,必须有一定的电平转换电路。

2.使用条件

TTL和CMOS集成门电路在使用时必须满足电路器件的使用条件,否则将导致集成电路和整个系统工作不正常,甚至损坏。必须注意,任何一个电路器件都必须满足厂家所提供的极限使用条件,否则会造成器件永久性损坏。关于 TTL 集成门和 CMOS 集成门的基本使用条件将在 2.2节中详细说明。

2.1.4 中小规模数字集成电路参数测试

为了合理地使用集成电路,必须了解其主要参数及其测试。数字集成电路的基本工程测试内容包括电路的逻辑功能、逻辑电平值、传输延迟时间、边沿速度、电路输出驱动能力等。

1.逻辑功能测试

逻辑功能测试是指通过输入和输出信号的测试记录,确定器件的逻辑功能。逻辑功能测试可分为两类,一类是指器件逻辑功能未知、器件管脚定义已知的测试;另一类是指器件逻辑功能和器件管脚定义均已知的测试。这两类测试都是以器件逻辑功能为基本测试目标,测试也只是进行逻辑功能检测而不关心其他参数特性。逻辑功能测试的结果以真值表形式记录,然后根据真值表列写出器件输入和输出之间的逻辑表达式,最后再确定器件的逻辑功能。

2.逻辑电平值测试

逻辑电平值测试应当在两种状态下进行:一种是在静态下测量,另一种是在动态下测试。静态测试是指使输入和输出逻辑信号均进入完全稳定状态后,对逻辑电平进行测试。动态测试是指使器件工作在所允许的频率范围内,对电路的逻辑电平进行测试。逻辑电平值测试需要记录逻辑电平的具体电压值。常用的逻辑电平主要参数如下。(1)输出高电平V和输出低电平VOHOL

通常 TTL 器件的输出高电平电压 V=3.6V,输出低电平电压 OHV=0.3V。一般产品规定V ≥2.4V、V <0.4V时即为合格。OLOHOL(2)逻辑摆幅ΔV

逻辑门输出高、低电平之差ΔV称为逻辑摆幅。逻辑摆幅越大,抗干扰能力越强。典型TTL逻辑门的逻辑摆幅ΔV= 3.6 V-0.3 V = 3.3 V。(3)关门电平V和开门电平Voffon

关门电平V是为保证输入为低电平所允许的最大输入电压。即off只有当v≤V时,输入电平才能保证是低电平。一般TTL电路的V Ioffoff为0.8V,CMOS 电路为电源电压的40%。

开门电平V是为保证输入为高电平所允许的最小输入电压,即on只有当v≥V时,输入电平才能保证是高电平。一般TTL电路的V Ionon为2V , CMOS 电路为电源电压的60%。

3.传输延迟时间测试

传输延迟时间是指信号从输入有效到输出有效的时间间隔。一般指输入信号幅度在 50%到输出信号幅度达到50%的时间间隔。

4.边沿速度测试

边沿速度测试是指对器件信号边沿变化时间所做的测试。

5.电路输出驱动能力测试

任何一个数字集成电路都具有相应的输出驱动能力,在设计和调试数字系统时,都必须十分注意器件的输出驱动能力。如果器件的输出驱动不能满足系统的要求,数字电路系统工作将会不正常。输出驱动能力测试时,应当记录被测试的输出管脚的电流值。

2.2 数字集成电路应用知识

数字集成电路是将元器件和连线集成于同一半导体芯片上而制成的数字逻辑电路或系统。为了能够更好地、合理地使用集成电路,必须了解数字集成电路基本应用知识。

2.2.1 数字集成电路的应用要点

1.仔细认真查阅使用器件型号的资料

对于要使用的集成电路,要根据手册查出该型号器件的资料,注意按照器件的管脚排列图接线,按参数表给出的参数规范使用,在使用中,不得超过最大额定值(如电源电压、环境温度、输出电流等),否则将损坏器件。

2.注意电源电压的稳定性

为了保证电路的稳定性,供电电源的质量一定要好,要稳压。在电源的引线端并联大的滤波电容,以避免由于电源通断的瞬间而产生冲击电压。更要注意不要将电源的极性接反,否则将会损坏器件。

3.采用合适的方法焊接集成电路

在需要弯曲管脚引线时,不要靠近根部弯曲。焊接前不允许用刀刮去引线上的镀金层,焊接所用的烙铁功率不应超过25W,焊接时间不应过长。焊接时最好选用中性焊剂。焊接后严禁将器件连同印制线路板放入有机溶液中浸泡。

4.注意设计工艺,增强抗干扰措施

在设计印刷线路板时,应避免引线过长,以防止窜扰和对信号传输延迟。此外要把电源线设计的宽些,地线要进行大面积接地,这样可减少接地噪声干扰。

2.2.2 TTL集成电路应用知识

1.正确选择电源电压

TTL集成电路的电源电压为5×(1±0.1)V,允许变化范围比较窄,过高可能损坏器件,过低则不能正常工作。在使用时更不能将电源与地颠倒接错,否则将会因为过大电流而造成器件损坏。

2.对输入端的处理

TTL集成电路多余的输入端一般不允许悬空使用。虽然悬空相当于高电平,并不影响与门、与非门的逻辑关系,但悬空容易产生接收干扰,有时会造成电路的误动作。因此,多余输入端要根据实际需要作适当处理。例如与门、与非门的多余输入端可直接接到电源 V上,CC也可将不同的输入端共用一个电阻连接到 V上,或将多余的输入端CC并联使用。对于或门、或非门的多余输入端应直接接地。对于触发器等中规模集成电路来说,不使用的输入端不能悬空,应根据逻辑功能接入适当电平。

3.对于输出端的处理

①输出端不能直接接地或+5V。当输出端直接接地时,如果输出信号为高电平,会因输出端对地短路而烧毁器件。当输出端直接接+5V时,如果输出为低电平,则会使电源对地短路,不仅会烧毁器件,还会引起整个系统工作不正常。

② 除三态门、集电极开路门外,TTL 集成电路的输出端不允许并联使用。这是因为输出端并联会因各输出端信号电平的不同而引起输出端短路。如果将几个“集电极开路门”电路的输出端并联,实现线与功能时,应在输出端与电源之间接入一个计算好的上拉电阻。集成门电路的输出更不允许与电源或地短路,否则可能造成器件损坏。

③当需要一个器件的某个输出端驱动多个输入端时,应符合输出端扇出系数的要求,否则,会因为驱动能力不够而使电路不能正常工作。

④当逻辑电路驱动的是容性负载时(例如输出信号连接在较长的信号传输线上),为避免充放电电流过大而损坏器件,应在输出端与负载之间接限流电阻,电阻的阻值一般在几百欧姆。

⑤数字电路器件是以脉冲方式工作的,为防止瞬态电流形成对电源的干扰,在电路电源端和芯片的电源与地之间附加相应的去耦电容。去耦电容应选择高频电容,一般电容值在0.01F~0.1F之间。

2.2.3 CMOS集成电路应用知识

1.正确选择电源

由于 CMOS 集成电路的工作电源电压范围比较宽(CD4000B/4500B:3~18V),选择电源电压时首先考虑要避免超过极限电源电压。其次要注意电源电压的高低将影响电路的工作频率。降低电源电压会引起电路工作频率下降或增加传输延迟时间。例如CMOS触发器,当V由+15V下降到+3V时,其最高频率将从10MHzCC下降到几十kHz。

2.防止CMOS电路出现可控硅效应的措施

当CMOS电路输入端施加的电压过高(大于电源电压)或过低(小于0V),或者电源电压突然变化时,电源电流可能会迅速增大烧坏器件,这种现象称为可控硅效应。

预防可控硅效应的措施主要如下。

①输入端信号幅度不能大于V 和小于0V。CC

②要消除电源上的干扰。

③ 在条件允许的情况下,尽可能降低电源电压。如果电路工作频率比较低,用+5V 电源供电最好。

④对使用的电源加限流措施,使电源电流被限制在30mA以内。

3.对输入端的处理

在使用CMOS电路器件时,对输入端一般要求如下。

①应保证输入信号幅值不超过CMOS电路的电源电压,即满足V ≤V ≤V ,一般V =0V。SSICCSS

②输入脉冲信号的上升和下降时间一般应小于数毫秒,否则电路工作不稳定或损坏器件。

③所有不用的输入端不能悬空,应根据实际要求接入适当的电压(V 或0V)。由于CMOS集成电路输入阻抗极高,一旦输入端悬空,CC极易受外界噪声影响,从而破坏了电路的正常逻辑关系,也可能感应静电,造成栅极被击穿。

4.对输出端的处理

① CMOS 电路的输出端不能直接连到一起。否则导通的 P 沟道 MOS 场效应管和导通的 N沟道MOS场效应管形成低阻通路,造成电源短路。

②在CMOS逻辑系统设计中,应尽量减少电容负载。电容负载会降低CMOS集成电路的工作速度和增加功耗。

③ CMOS电路在特定条件下可以并联使用。当同一芯片上2个以上同样器件(例如门电路)并联使用时,可增大输出灌电流和拉电流负载能力,也提高了电路的速度。但器件的输出端并联,输入端也必须并联。

④从CMOS器件的输出驱动电流大小来看,CMOS电路的驱动能力比TTL电路要差很多,一般CMOS器件的输出只能驱动一个LS-TTL负载。但从驱动和它本身相同的负载来看,CMOS的扇出系数比TTL电路大的多(CMOS的扇出系数≥500)。CMOS电路驱动其他负载,一般要外加一级驱动器接口电路。

2.2.4 数字集成电路的接口电路

在使用数字集成电路设计一个数字系统时,经常把不同类型的集成电路进行转接,这就需要增加接口电路,使各级电平或阻抗相匹配。

1.TTL与CMOS接口

当用TTL电路驱动4000系列和HC系列CMOS电路时,必须设法将TTL电路的输出高电平提升到 3.5V 以上。此时可以在 TTL 电路的输出端接一个上拉电阻(例如 3.3 kΩ)至电源 V (+5V)。此时,CCCMOS电路相当于一个同类TTL电路的负载。

如果CMOS电路的电源较高,TTL的输出端仍可接一上拉电阻,但需使用集电极开路门(如T1006)电路,如图 2.1(a)所示。应注意,上拉电阻的大小对工作速度有一定的影响,这是由于门电路的输入和输出端均存在杂散电容的缘故。

另一种方案是采用一个专用的 CMOS 电平移动器(例如 40109),它由两种直流电源 V和V供电,电平移动器接收TTL电CCDD平(对应于V),而输出CMOS电平(对应于V),电路如图CCDD2.1(b)所示。图2.1 TTL与CMOS之间的电平移动

2.CMOS电路驱动TTL电路

采用CMOS驱动器可以提高驱动能力,但是当CMOS电路驱动TTL电路时,由于CMOS驱动电流较小(特别是输出低电平时),所以对TTL电路的驱动能力很有限。例如,CD4069(六反相器)只能直接驱动两个 74LS 系列门负载。也可以将同一封装内的门电路并联使用以加大驱动能力。还可以用三极管反相器作为接口电路,即用三极管电流放大器扩展电流驱动能力,其电路如图2.2所示。图2.2 CMOS电路通过三极管放大器驱动TTL电路

2.3 集成器件的命名及封装形式

当我们使用集成器件时,如何从器件的命名上读懂器件的相关信息?器件的不同封装形式又有什么讲究?了解器件的命名法和封装形式有助于我们方便地使用各种集成器件。

2.3.1 数字集成器件的命名

数字集成电路的型号组成一般由前缀、编号、后缀3大部分组成,前缀代表制造厂商,编号包括产品系列号、器件系列号,后缀一般表示温度等级、封装形式等。表2-1所示为TTL74系列数字集成电路型号的组成及符号的意义。表2.2所示为4000系列CMOS器件型号的组成及符号的意义。表2.1 TTL74系列数字集成电路型号的组成及符号的意义

举例说明如下。

① 制造厂商,CT:国产TTL电路。

② 产品系列:74系列。

③ 器件系列:低功耗肖特基74TTL电路系列。

④ 器件种类:四2输入与非门。表2.2 4000系列CMOS器件型号的组成及符号意义

⑤封装形式P:塑料双列直插式封装。

CT74LS00P为国产的(采用塑料双列直插式封装)TTL四2输入与非门。

同一型号的集成电路原理相同,通常又冠以不同的前缀、后缀,前缀代表制造商(有部分型号省略了前缀);后缀代表器件工作温度范围或封装形式。由于制造厂商繁多,加之同一型号又分为不同的等级。因此,同一功能、型号的 IC 其名称的书写形式多样,如和 CT54161/CT74161具有相同功能的计数器芯片还有:CT54LS161/CT74LS161、CC40161。

2.3.2 数字集成器件的封装形式

经常听到说芯片采用什么封装方式。对于各种各样不同功能的芯片,它们又是采用何种封装形式呢?并且这些封装形式又有什么样的技术特点以及优越性呢?下面将介绍芯片封装形式的特点。

1.双列直插式封装(DIP)

绝大多数中小规模集成电路均采用双列直插式封装(Dual In-line Package,DIP)。这种封装形式,其引脚数一般不超过100个。采用DIP的芯片,需要插入到具有DIP结构的芯片插座上,也可以直接插入焊接在印制电路板(printed-circuit board,PCB)上。DIP的芯片在从芯片插座上插拔时应特别小心,以免损坏引脚。一般教学中所用的 74 系列器件多选用双列直插式封装。图2.3所示为双列直插式封装的正面示意图。图2.3 双列直插式封装的正面示意图

双列直插式封装具有以下特点。

①适合在PCB(印制电路板)上穿孔焊接,操作方便。

②芯片面积与封装面积之间的比值较大,故体积也较大。

2.方形扁平式封装(QFP)和塑料扁平组件式封装(PFP)

方形扁平式封装(Plastic Quad Flat Package,QFP)的芯片引脚之间距离很小,管脚很细,一般大规模或超大型集成电路都采用这种封装形式,其引脚数一般在100个以上。用这种形式封装的芯片必须采用表面贴装器件技术(Surface Mounted Devices,SMD)将芯片与主板焊接起来。采用 SMD 安装的芯片不必在主板上打孔,一般在主板表面上有设计好的相应管脚的焊点。将芯片各脚对准相应的焊点,即可实现与主板的焊接。用这种方法焊上去的芯片,如果不用专用工具是很难拆卸下来的。

塑料扁平组件式封装(Plastic Flat Package,PFP)的芯片与QFP的芯片基本相同。唯一的区别是QFP一般为正方形,而PFP既可以是正方形,也可以是长方形。

QFP/PFP具有以下特点。

①适合采用表面贴装器件技术(SMD)在PCB上安装布线。

②适合高频使用。

③操作方便,可靠性高。

④芯片面积与封装面积之间的比值较小。

3.插针网格阵列封装(PGA)

插针网格阵列封装(Pin Grid Array,PGA)形式在芯片的内外有多个方阵形的插针,每个方阵形插针沿芯片的四周间隔一定距离排列。根据引脚数目的多少,可以围成2~5圈。安装时,将芯片插入专门的PGA插座。为使CPU能够更方便地安装和拆卸,从486芯片开始,出现一种名为ZIF的CPU插座,专门用来满足PGA的CPU在安装和拆卸上的要求。

PGA具有以下特点。

①插拔操作更方便,可靠性高。

②可适应更高的频率。Intel 系列CPU 中,80486 和Pentium、Pentium Pro 均采用这种封装形式。

4.球栅阵列封装(BGA)

随着集成电路技术的发展,对集成电路的封装要求更加严格。这是因为封装技术关系到产品的功能性,当 IC 的频率超过 100MHz 时,传统封装方式可能会产生所谓的“CrossTalk”现象,而且当IC的管脚数大于208 Pin时,传统的封装方式有其困难度。因此,除使用QFP方式外,现今大多数的高脚数芯片(如图形芯片与芯片组等)皆转而使用球栅阵列封装技术。BGA一出现便成为CPU、主板上南/北桥芯片等高密度、高性能、多引脚封装的最佳选择。

BGA具有以下特点。

① I/O引脚数虽然增多,但引脚之间的距离远大于QFP方式,提高了成品率。

②虽然BGA的功耗增加,但由于采用的是可控塌陷芯片法焊接,从而可以改善电热性能。

③信号传输延迟小,适应频率大大提高。

④组装可用共面焊接,可靠性大大提高。

5.芯片尺寸封装(CSP)

随着全球电子产品个性化、轻巧化的需求蔚为风潮,封装技术已进步到芯片尺寸封装(Chip Size Package,CSP)。它减小了芯片封装外形的尺寸,做到裸芯片尺寸有多大,封装尺寸就有多大。即封装后的IC尺寸边长不大于芯片的1.2倍,IC面积只比晶粒(Die)大不超过1.4倍。

CSP具有以下特点。

①满足了芯片I/O引脚不断增加的需要。

②芯片面积与封装面积之间的比值很小。

③极大地缩短延迟时间。

CSP封装适用于管脚数少的IC,如内存条和便携电子产品。它将大量应用在信息家电(IA)、数字电视(DTV)、电子书(E-Book)、无线局域网(WLAN)/GigabitEthemet、ADSL/手机芯片、蓝牙(Bluetooth)等新兴产品中。

6.多芯片模块(MCM)

为解决单一芯片集成度低和功能不够完善的问题,把多个高集成度、高性能、高可靠性的芯片,在高密度多层互连基板上用 SMD 技术组成多种多样的电子模块系统,从而出现多芯片模块(Multi Chip Model,MCM)系统。

MCM具有以下特点。

①封装延迟时间缩小,易于实现模块高速化。

②缩小整机/模块的封装尺寸和重量。

③系统可靠性大大提高。

总之,由于超大型集成电路在不断发展,集成电路的封装形式也不断作出相应的调整变化,而封装形式的进步又将反过来促进芯片技术向前发展。

2.4 数字集成电路的组装及调试

在完成了设计任务后,就要在电路板上搭接电路了,怎么能让你的设计电路既美观又工作可靠呢?下面介绍电路组装及调试方面的相关知识。

2.4.1 数字电路设计组装注意事项

● 电路设计做到模块化,尽可能简化。

● 组装电路时,先单独组装振荡电路,测试无误后,再组装其他模块。

● 每个单元电路先独立组装,独立测试,功能正确后,单元电路之间才能互连。

● 所有数码管都要接限流电阻,所有发光二极管都要接限流电阻。阻值为100~500Ω。

● 所有器件的多余输入端不允许悬空,请按实际情况做合适的处理。

● 电路上电之前必须先进行静态测试,用万用表的电阻挡测量,所有的电源要连通,所有的地线要连通。电源和地之间的电阻应该在几千欧姆以上,若电源和地之间的电阻过小,请不要加电,先检查电路是否有短路。

● 电路中的高电平正常情况下>3V,低电平正常情况下<0.4V。介于二者之间的电平为非正常电平,会造成逻辑错误。

● 必须注意:严禁带电插、拔元器件及导线,插、拔器件只能在关断电源的情况下进行。

2.4.2 数字电路的安装与调试

● 首先要保证连线正确,掌握连线顺序。一般要求连线横平竖直,通常先连短线,后连长线。特别要注意各集成器件的地线和电源线的连接正确,防止毁坏器件。

● 电路搭接完成后,用万用表检查各接线是否正确,要防止漏线、错线和接触不良等现象发生。特别要注意整个系统的地线和电源线的连接,避免造成不良影响。

● 电路搭接完成后,做一次系统的检查,如果各部分连线正确,方可接通电源,进行调试。调试时应先对子系统调试,子系统调试成功后,再进行子系统之间的连接,最后进行总调。

2.4.3 电路测试及故障的查找与排除

1.数字电路测试

数字电路测试大体上分为静态测试和动态测试两部分。静态测试指的是,给定数字电路若干组静态输入值,测试数字电路的输出值是否正确。静态测试是检查设计是否正确,接线是否无误的重要一步。在静态测试基础上,按设计要求在输入端加动态脉冲信号,观察输出端波形是否符合设计要求,这是动态测试。有些数字电路只需进行静态测试即可,有些数字电路则必须进行动态测试。一般而言,时序电路应进行动态测试。

2.数字电路的故障查找和排除

电路调试中难免出现问题,重要的是找出产生问题的原因,从而解决它。一般有4个方面的原因产生问题:器件故障、接线错误、设计错误和测试方法错误。(1)器件故障

器件故障表现为器件工作不正常,是器件失效或器件接插不正确引起的故障。判断器件失效的方法是用集成电路测试仪测试器件好坏或采用静态测试方法判断。不言而喻,器件失效需要更换一个新器件。器件接插问题,如管脚折断或者器件的某个引脚没插到插座中等,也会使器件工作不正常。对于器件接插错误,有时不易发现,需仔细检查。(2)接线错误

接线错误是最常见的错误。常见的接线错误一般有以下几种情况:忘记接器件的电源和地线;连线与插孔接触不良;连线经多次使用后,有可能外面塑料包皮完好,但内部线断;连线多接、漏接、错接;连线过长、过乱造成干扰。解决此问题最重要的是接线前要画出接线图,按图接线,不要凭记忆随想随接;接线要规范、整齐,尽量走直线、短线,以免引起干扰。(3)设计错误

设计错误自然会造成与预想的结果不一致。原因是对设计要求没有吃透,或者是对所用器件的原理没有掌握,因此搭电路前一定要精心设计。初始设计完成后一般应对设计进行EDA仿真,保证所搭接电路的设计正确。(4)测试方法错误

调试中如果发现实验现象与设计要求不相符时,应仔细观测现象,冷静思考问题所在。首先检查仪器、仪表的使用是否正确。在正确便用仪器、仪表的前提下,再按逻辑图和接线图逐级查找问题所在。通常从发现问题的地方,逐级向前查找,直到找出故障的初始发生位置。在故障的初始位置处,首先检查连线是否正确,因为实验故障绝大部分是由接线错误引起的,因此检查一定要认真、仔细。确认接线无误后,再检查器件引脚有无折断、弯曲、错插问题。确认无上述问题后,取下器件测试以判断好坏,或者直接换一个好器件。如果器件和接线都正确,则需考虑设计问题。切忌全部拔出连线重新搭接电路,此法是不科学的。

第3章 数字逻辑电路基本设计方法

我们知道,每一个数字处理系统都包含了许许多多的逻辑电路。一般逻辑电路大致可分为两大类,一类是组合逻辑电路,一类是时序逻辑电路。本章将分别介绍组合逻辑电路和时序逻辑电路的设计方法,并介绍采用EDA技术及可编程逻辑器件的数字系统设计思想。

3.1 组合逻辑电路设计

组合逻辑电路是最常见的逻辑电路,其特点是任何时刻电路的输出信号仅取决于该时刻的输入信号,而与信号作用前电路原来所处的状态无关。组合逻辑电路的设计,就是根据逻辑功能的要求及器件资源情况,设计出最佳实现电路。本节首先介绍采用小规模组合器件的设计方法及组合逻辑电路中的竞争与冒险,然后介绍常用中规模组合集成器件及其应用方法。

3.1.1 采用小规模组合器件的设计方法

在采用小规模器件(SSI)进行设计时,通常先将函数化简成最简与-或表达式,使其包含的乘积项最少,且每个乘积项所包含的因子数也最少。最后根据所采用的器件的类型进行适当的函数表达式变换,如可变换成与非-与非表达式﹑或非-或非表达式﹑与或非表达式及异或表达式等。

工程上的最佳设计,通常需要用多个指标去衡量,主要考虑的问题包括:①电路最简。所用的逻辑器件数目最少,器件的种类最少,且器件之间的连线最少;②速度要求。应使所用门电路的级数最少,以减少延迟。

1.采用小规模集成器件设计组合逻辑电路的设计步骤

①根据对电路功能要求的文字描述,用真值表表示出输入与输出的逻辑关系。

②根据真值表写出逻辑函数表达式。

③根据提供的门电路,对逻辑函数表达式进行化简或相应变换。

④根据逻辑函数表达式画出逻辑电路图。【例3-1】用小规模集成器件设计一个3变量的多数表决电路。当多数人同意时,提议通过;否则,提议不通过。

解:根据题意,有3个输入变量,1个输出变量。设3个输入变量分别为A、B、C,输出变量为F。当输入同意时用逻辑1 表示,不同意为逻辑0;输出状态为逻辑1 时表示通过,输出状态为逻辑0时表示否决。得其真值表如表3.1所示。表3.1 例3-1真值表

由真值表写出逻辑函数表达式为

利用公式法或卡诺图法化简,得最简与-或表达式,即

若采用与非逻辑器件实现,则可以对式(1)两次求反,变换成与非-与非表达式为F

根据式(2)画出采用与非器件组成的逻辑电路如图3.1所示。图3.1 采用与非器件组成的例3-1逻辑电路

若采用或非逻辑器件实现,则对式(1)进行代数变换,先得到或与式,即

再对或与式两次求反,变换成或非-或非表达式,即

根据式(4)画出采用或非器件组成的逻辑电路如图3.2所示。当然采用或非器件时,也可以通过对卡诺图中0格化简来得到式(3)所表示的最简或与式。

若采用与或非逻辑器件实现,则对式(4)进行代数变换,得到与或非式,即

2.电路设计中的实际问题

上面介绍了一般组合逻辑电路的设计方法,实际遇到的问题相对要复杂一些。设计过程中常见的问题有以下几点。图3.2 采用或非器件组成的例3-1逻辑电路(1)多余输入端的处理

多余输入端的处理可分为两种情况:输入端为与逻辑时,对于TTL电路可将多余输入端接高电平、与其他输入端并接或悬空(但在干扰比较严重的场合不能悬空);对于CMOS电路与逻辑的输入只能接成高电平或输入端并接,但不能悬空。输入端为或逻辑时,无论是TTL电路,还是CMOS电路,都可将多余输入端接低电平或和其他输入端并接。(2)电路提供的输入端少于实际需要的输入端

当集成电路的输入端少于实际需要的输入端时,例如要实现4输入与非关系,但实际提供的集成电路只有2输入与非门,通常采用分组的方法进行解决。如图3.3所示。图3.3 采用分组方法(3)扇出问题

在设计电路时,最终的电路可能存在一个门电路的输出需带的负载非常多,超过器件的带负载能力,由于负载一般为同系列的门电路,所以常称此问题为扇出问题。通常用两种方法来解决该问题:一种是采用扇出系数大的门作为输出(称为带缓冲的门);另一种方法是采用分组的方法增加驱动能力,这与图3-3的工作原理类似。

3.组合逻辑电路中的竞争与冒险(1)竞争与冒险

组合逻辑电路中,输入信号A经过多条传输路径到达某个输出端的现象称为逻辑竞争(Logic Race),变量 A 称为有竞争力的变量。逻辑竞争有可能导致电路输入输出关系瞬间偏离真值表,产生短暂的错误输出,造成逻辑功能的瞬时紊乱,经过一段时间过渡后才到达原先所期望的状态,这种现象称为逻辑电路的冒险现象。

逻辑电路的险象持续时间虽然不长,但危害却不可忽视。尤其是当组合逻辑电路的输出用来驱动时序电路时,有可能会造成严重后果,瞬间的错误输出称为毛刺。逻辑电路中,有竞争不一定产生冒险,有冒险就一定有竞争。(2)逻辑险象的卡诺图识别法

在逻辑函数的卡诺图中,函数表达式的每个积项(或和项)对应于一个卡诺圈。如果两个卡诺圈存在着相切部分,且相切部分又未被另一个卡诺圈圈住,那么实现该逻辑函数的电路必然存在险象。

例如,函数的卡诺图如图3.4(a)所示。从图中可见,代表BD和的两个卡诺圈相切,且相切部分的“1”又未被其他卡诺圈圈住。因此,当B从0到1或从1到0变化时,F将从一个卡诺圈进入另一个卡诺圈,从而产生险象。可以看出,除了B是有竞争力的变量外,C也是有竞争力的变量,但由于代表BC和BD的两个卡诺圈未相切,故不会产生险象。图3.4 卡诺图法判断(3)逻辑冒险现象的消除

消除组合逻辑电路中竞争—冒险现象的常用方法:修改逻辑设计、增加选通电路和增加输出滤波等多种方法。

①利用冗余项修改逻辑设计。对于逻辑表达式,当B=C=1时,存在竞争—冒险现象。利用逻辑代数公式,可以增加冗余项BC,使,以消除由于A变化而引起的逻辑冒险。因为当 B=1、C=1 时,存在情况,由于增加了 BC 项,则不论 A如何变化,BC项始终为1,输出始终为1,则输出不会出现逻辑冒险。修改后的逻辑电路如图3.5所示。

采用修改逻辑设计增加冗余项的方法,适用范围非常有限,它仅能改变函数中,当B=1,C=1时,由A的状态改变所引起的逻辑冒险。图3.5 增加冗余项后的逻辑电路图

②脉冲选通法(取样法)。脉冲选通法是在电路中加入一个选通脉冲 P,在确定电路进入稳定状态后,才让选通信号有效,产生正确输出。否则封锁电路输出,如图3.6所示。图3.6 用选通脉冲消除竞争—冒险现象

③滤波法。滤波法是在门电路的输出端接上一个滤波电容,将尖峰脉冲的幅度削减至门电路的阈值电压以下,如图 3.7 所示。由于竞争—冒险产生的尖峰脉冲很窄,所以通常接一个大约几百皮法的小电容即可。这种方法很简单,但会使波形变坏。在对波形要求较严格时,应再加整形电路。图3.7 用滤波电容消除竞争——冒险现象

3.1.2 中规模组合集成器件及其应用

组合逻辑电路中,常用的中规模集成器件(MSI)产品有编码器﹑译码器﹑全加器﹑数据选择/分配器﹑数值比较器等。用这些功能器件实现组合逻辑函数,基本采用逻辑函数对比方法。因为每一种中规模集成器件都具有某种确定的逻辑功能,都可以写出其输出和输入关系的逻辑函数表达式。在进行设计时,可以将要实现的逻辑函数表达式进行变换,尽可能变换成与某些中规模集成器件的逻辑函数表达式类似的形式。下面是中规模器件的介绍和应用举例。

1.集成反相器与缓冲器

在数字电路中,反相器就是“非门”电路。其中 74LS04 是通用型六反相器。与该器件具有相同的逻辑功能且管脚排列兼容的器件有:74HC04(CMOS器件)、CD4069(CMOS器件)等, 74LS05也是六反相器,该器件的封装、引脚排列、逻辑功能均与74LS04相同,不同的是74LS05是集电极开路输出(简称 OC 门)。在实际使用时,必须在输出端至电源正端接一个 1kΩ~3kΩ的上拉电阻。

缓冲器的输出与输入信号同相位,它用于改变输入输出电平以及提高电路的驱动能力。实现该功能的器件有集电极开路输出同相驱动器 74LS07,与之兼容的器件有 74HC07(CMOS)、74LS17。

若需要更强的驱动能力门电路,可采用 ULN2000A 系列。该系列包括 ULN2001A~ULN2005A,内部有7个相同的驱动门。ULN2000A系列的吸收电流可达500mA,输出管耐压为50V左右,故它们有很强的低电平驱动能力,可用于小型继电器、微型步进电机的相绕组驱动。

2.加法器

加法器是计算机的基本运算单元,在各种逻辑电路中经常使用。加法器电路分二进制和十进制两种。一般计算机中使用的多是二进制加法电路。

加法器电路的要求是:①位与位之间能进位;②能实现同步进位。

加法操作的基本原理是,设A和B是被加数和加数,Y是本位加法的和,C是来自低位的进位,C是本位向高位的进位。把A、B、Cjnj看做是数字电路的输入信号,Y、C看成是输出信号,则可以根据二n进制加法运算规则得到表3.2所示全加器真值表。表3.2 全加器真值表

常用的全加器集成电路是74LS183,它包含了两个完全独立的全加器,可实现2位二进制数加法运算;74LS283则是一个4位二进制加法器,可实现4位二进制数的加法运算。

3.数字比较器

数字比较器用于数字信号的比较,例如,数据A和B比较,如果A>B,则输出A大于B的结果信号F=1,F=0,F=0。如果A>BA=BABA=BABA=BA

用两片4位数值比较器74LS85可以级联构成8位数值比较器电路,如图3.8所示。图3.8 两片4位比较器组成的8位比较器

设计时要注意级联输入端的连接方法,如果连错,可能会造成始终大于或等于要比较的数。数字比较器可用于实现电子锁。电子锁原理为:若A组的8个输入假设为开锁的钥匙,B组的8个输入为电子锁的密码。如果A组等于B组,则发光二极管亮,表明锁已打开。如果A组不等于B组,蜂鸣器报警,有危险情况。我们可以利用两片4位集成比较器,将其串联起来完成一个8位电子锁。

4.编/译码器与显示原理(1)编、译码原理

数字代码处理包括编码和译码。从数字逻辑理论的角度看,编码和译码实际上就是两个互为逆运算的逻辑系统。用数字电路实现编码和译码逻辑的数字电路叫做编码器电路和译码器电路。

编码器电路的功能是根据数字系统的需要,对指定信号进行相应的代码格式化,例如,对键盘按键进行编码,把需要传输的数据转换成相应的编码等。编码器按照约定的编码规则对输入数据进行编码,得到的输出是符合约定编码规则的编码。例如进行十进制与8421码编码。

译码器电路的功能则根据系统需要,对不同的代码进行编码方式转换。

编码/译码器实际上可以被认为是一种数制转换逻辑电路,即译nn码器为n ⇔ 2 ,其中n为输入的编码数据,2 为输出数据。而编码nn器与此相反,为2 ⇔ n ,其中2 为输入数据,n为输出的编码。对于编码器,有一个限制条件:设有N个输入,则输出数据s与N之间必须满足以下条件。

试读结束[说明:试读内容隐藏了图片]

下载完整电子书


相关推荐

最新文章


© 2020 txtepub下载