ADS2009射频电路设计与仿真(txt+pdf+epub+mobi电子书下载)


发布时间:2020-05-25 16:04:36

点击下载

作者:冯新宇,车向前,等

出版社:电子工业出版社

格式: AZW3, DOCX, EPUB, MOBI, PDF, TXT

ADS2009射频电路设计与仿真

ADS2009射频电路设计与仿真试读:

前言

ADS(Advanced Design System)是由美国Agilent公司推出的微波电路和通信系统的仿真软件,是当今业界最流行的微波射频电路、通信系统、RFIC 设计软件,也是国内高校、科研院所和大型 IT 公司使用最多的软件之一。ADS 功能强大,仿真手段丰富,可实现包括时域与频域、数字与模拟、线性与非线性、噪声等多种仿真功能,并可对设计结果进行成品率分析与优化,提高复杂电路的设计效率,是优秀的微波射频电路、系统信号链路的设计工具,是射频工程师必备的工具软件之一。当前,射频电路设计研发人员的需求与日俱增,对射频工程设计人员的要求也越来越高,学会使用仿真软件进行 RF 电路设计已经成为射频工程师的必修课。国内 ADS 学习资料相对较少,适合在校学生学习的教材更是屈指可数,很多大学生为掌握此套软件又无系统的教程而苦恼,为此编者协同其他几位一线教师,花了近一年的时间,精心编写了此书。本书分为3篇,即基础篇、提高篇和扩展篇,共18章。1)基础篇(第1章~第8章) 主要介绍ADS2009射频电路设计的基础知识。第1章主要介绍ADS2009版本的新功能,ADS2009软件的安装方法及主要窗口介绍。第 2 章对 ADS2009 的基本操作,如原理图、工程、仿真,进行了概括性的论述;同时对ADS2009 涉及的元件模型进行分类介绍。第 3 章以两个工程实例,从电路和系统两方面介绍ADS2009仿真方法。第4章介绍了ADS2009的直流仿真方法,列举了子电路的创建、直流特性分析、偏置网络分析和温度扫描等仿真实例。第 5 章介绍了 ADS2009 的交流仿真方法,详细介绍了交流仿真涉及的控件,列举了交流小信号、多参数扫描的方法。第6章通过基本 S 参数仿真、匹配电路设计、参数优化方法、噪声增益分析等实例介绍 ADS2009 的 S参数仿真方法。第7章主要介绍谐波平衡仿真方法,列举了压缩功率输出、双音谐波平衡仿真、三阶交调点仿真等实例。第8章介绍了电路包络仿真的方法,列举了运算放大器、信道功率等包络仿真方法。2)提高篇(第9章~第14章) 利用ADS2009完成相应射频电路设计。第9章完成750MHz的集总参数比例型功分器和1GHz的集总参数等分型功分器,进而给出中心频率为 1GHz 分布参数(Wilkinson)功分器的电路和版图设计实例。第 10 章完成集总参数滤波器和分布参数(平行耦合微带线)滤波器设计,体现了 ADS2009 电路图和版图设计仿真的特点和优势。第 11 章完成低噪声放大器的设计与仿真,并对其参数进行优化设计,体现了 ADS2009 仿真与优化的特点和优势。第 12 章完成二极管电阻性混频器的设计,并利用谐波平衡法对混频器的非线性特性进行分析,给出 C 波段镜像抑制混频器的设计样例。第 13 章介绍压控振荡器的结构、原理、设计方法,完成振荡频率在 1.8GHz 压控振荡器的设计与仿真。第 14 章完成 3.0GHz 矩形微带天线,对原理图参数优化仿真、版图优化仿真及阻抗匹配电路设计进行了详细的论述。3)扩展篇(第15~18章) 利用ADS2009完成高级电路及系统设计。第15章利用ADS Layout设计环境对1.8GHz印刷偶极子天线进行了设计与仿真,特别是2D和3D参数的绘制,为读者学习天线设计提供技术支持。第16章对无线收发信机系统进行分析和仿真,体现 ADS2009 系统级仿真的特点。第 17 章利用 16QAM 调制/解调模块设计,介绍ADS2009的DSP Design的设计和使用方法。第18章主要介绍基于W-CDMA的3GPP核心技术,并完成W-CDMA/3GPP通信系统的仿真。参与本书编写的有黑龙江科技学院冯新宇(第1 章~第3 章)、车向前(第 4 章~第 6章)、穆秀春(第7章和第8章)、哈尔滨理工大学杨广学(第9章~第13章)、黑龙江科技学院王冲(第14章、第16章和第17章)、蒋洪波(第15章和第18章),全书由冯新宇统稿。参与本书编写的还有宋一兵、王献红、李文秋、张轩、田东、田绪东、付本国等。在本书的编写过程中,参考和引用了很多同类资料的相关内容和美国安捷伦公司的有关技术资料,在此向这些资料的作者和安捷伦公司致以深深的感谢。在本书的编写过程中,青岛大学管殿柱老师、黑龙江科技学院边莉老师对书稿提出了宝贵的建议和意见。黑龙江科技学院乔文亮同学利用寒假期间完成了书稿中电路仿真的测试工作。在此衷心感谢!由于编者水平有限,书中错误在所难免,希望各位同行批评指正。编 著 者第1章 ADS2009简介ADS(Advanced Design System)是由美国Agilent公司推出的微波电路和通信系统的仿真软件,是当今业界最流行的微波射频电路、通信系统和 RFIC 设计软件,也是国内高校、科研院所和大型 IT 公司使用最多的软件之一。其功能强大,仿真手段丰富,可实现包括时域与频域、数字与模拟、线性与非线性、噪声等多种仿真功能,并可对设计结果进行成品率分析与优化,提高复杂电路的设计效率,是优秀的微波射频电路、系统信号链路的设计工具,是射频工程师必备的工具软件之一。1.1 ADS2009概述ADS2009是安捷伦科技公司(Agilent Technologies)日前发布的先进设计系统(Advanced Design System,ADS)最新版软件,是高频、高速EDA软件平台。新版软件可加快通信产品的设计速度。1.ADS2009的主要特性及新功能ADS2009 增强了图形用户操作界面。该界面源于大部分的网际网络软件工具和搜寻引擎,所使用的统一界面开发平台包含搜寻和内容关联感知功能。应用于一般和新型设计的新界面,在速度上的提升,可以使软件工具的整合更加流畅。ADS2009改善了专案管理,即时放大和平移,互动式3D布局检视,缩放和剖面显示等功能;改良了 LVS(布局 vs.线路图)同步设计功能,提供了自动化设计的完整控制功能,以确保布局的正确性;更新了设计规则检查功能,可以快速地将绘图导出/导入,使设计到生产的移转过程更加顺利。另外,ADS2009可以将完整的3D电磁分析功能整合到ADS环境中。整合的电磁设计系统包含了更快速的封装接线绘制操作界面;并且采用最新的多处理器运算架构,包括支持64位处理器;以及更快速的高频暂态模拟功能,以大型电路为例,平均速度提升了6倍之多。目前,高速数字设计人员面临的实体设计挑战是安捷伦 EDA 设计工具针对 RF 和微波设计所祈求的重点,因此ADS2009可以支持高速gigabit连线(信号完整性)的设计需求,包括误码率测量和分析,以及为射频体系结构应用提供与Agilent GENESYS软件设计的链接,这些都有助于更快地生成复杂的高频系统和电路设计。Agilent Eesof EDA事业部营销和服务经理Neil Martin表示:“这一版本包含的许多特性,使我们大部份客户包括业内领先的手机制造商兴奋不已。通过与GENESYS新的链接,设计师能更容易地设计射频系统,优化某些性能特征,并直接从系统仿真器开始完成对诸如滤波器等器件的设计实现。过去,这些工具不能与我们业内领先的 ADS 平台链接。现在,设计师在使用ADS时无须从零开始,而可以从更接近于最终目标处开始。”安捷伦科技公司于2005年8月收购Eagleware以后,便成功地得到GENESYS中对复杂射频系统体系结构进行设计的能力。在保持 Eagleware 平台的同时,公司致力把Eagleware 中的关键技术集成至 ADS 的设计流程中,以提高设计的速度和效率。ADS2009继承了ADS2008中的如下重要功能:● WhatIF:用于射频系统结构的中频(IF)规划工具● Spectrasys:具有因果分析的唯一一种连续谱射频系统仿真器● Synthesis:实现可制造电路级设计的8种辅助设计工具,如为射频电路板设计精密镜像抑制滤波器大多数高速信号完整性设计人员面对的都是 1Gbit/s 或更高速率的信号,需要 S 参数仿真,以及其他无源频域模型,用于信道建模。为了准确地预测系统 BER(测试误码率)性能并生成有意义的眼图,设计人员需要卷积仿真技术和宽带SPICE模型提取来满足这些要求。十多年前,EEsof 将卷积仿真技术引入到 EDA 行业中,射频/微波设计人员使用它在高速数字设计方面获得很大的成功。随着日益增长的数据速率和不断增加的设计复杂性,设计人员已经认识到保证无源特性和因果关系特性是 S 参数模型的两个主要限制因素,这两个因素经常使他们无法预测准确的时域响应。S 参数模型的被动性和因果关系不是新问题,而是众所周知的当前仿真工具的限制因素。ADS2009 中新的卷积仿真技术成为这两个棘手问题的突破性解决方案。新的卷积仿真器提供了一个选项,可自动强制实施 S 参数模型上的被动性,并且可以非常准确地确定代表原始 S 参数数据的因果时域响应。这一显著的新功能使得 ADS2009 作为目前市场上可以准确地预测波段受限的S参数模型的时域响应的唯一工具,从而站在了SI的前沿。IBIS 模型在行业内广泛用于为集成电路驱动程序、输出、接收器和输入的非线性行为生成模型。对于高达 3Gbit/s 的数据速率,大多数半导体供应商为集成电路模型提供从此建模中派生的数据。ADS2005A和ADS2006A都通过利用设计工具包功能,对IBIS模型提供有限的支持。这些IBIS仿真都是建立在外部创建的基于FDD模型的基础上,因此在功能和性能方面都受到了限制。ADS2009在ADS环境中提供原IBIS I/O模型,不仅具有出色的性能,而且具有一个易于使用的直观的界面。设计人员可以将 IBIS 模型直接置于 ADS 电路图页面上,选择 IBIS文件和引脚名,为时域性能进行仿真。当然,要进行此仿真,需要链接偏压电源、触发器和载荷。最新版本支持18个最流行的IBIS模型。将来的版本将会不断扩展,以支持其他需要包含的模型。新的E8949 IBIS I/O模型库是Model 85243 IBIS模型(MDS)的直接替换,并带有一个单独的许可证和价格标签。默认情况下,IBIS I/O模型将成为信号完整性捆绑、模拟LTP和集成捆绑LTP的组成部分,并且还可以添加到其他捆绑中。2.DS仿真设计方法ADS 软件可以对电路进行模拟,完成射频/微波等电路及通信系统设计,主要包括以下几种分析和仿真方法。1)高频SPICE分析和卷积分析 高频SPICE分析方法提供如SPICE仿真器般的瞬态分析,可分析线性或非线性电路的瞬态效应。在 SPICE 仿真器中,无法直接使用的频域分析模型(如微带线、带状线等),可在高频 SPICE 仿真器中直接使用。因为在仿真时,高频SPICE仿真器会将频域分析模型进行拉氏变换后进行瞬态分析,而不需要用户将该模型转化为等效RLC电路。所以高频SPICE除了可以做低频电路的瞬态分析,也可以分析高频电路的瞬态响应。此外,高频 SPICE 也提供瞬态噪声分析的功能,可以用于仿真电路的瞬态噪声,如振荡器或锁相环的抖动。卷积分析方法是架构在 SPICE 高频仿真器上的高级时域分析方法,可以更加准确地用时域方法分析与频率相关的元件,如S参数定义的元件、传输线、微带线等。2)线性分析 线性分析是频域电路仿真分析方法,可以对线性或非线性的射频与微波电路做线性分析。在进行线性分析时,软件首先针对电路中每个元件计算所需的线性参数,如S、Z、Y和H参数,以及电路阻抗、噪声、反射系数、稳定系数、增益或损耗等(若为非线性元件则计算其工作点等线性参数),再对整个电路进行分析、仿真。3)谐波平衡分析 谐波平衡分析提供频域、稳态、大信号的电路分析仿真方法,可以用于分析具有多频输入信号的非线性电路,得到非线性的电路响应,如噪声、功率压缩点、谐波失真等。与时域 SPICE 仿真分析相比较,谐波平衡对非线性电路可以提供更快速、有效的分析方法。谐波平衡分析方法的出现弥补了 SPICE 的瞬态响应分析和线性 S 参数分析对具有多频输入信号的非线性电路仿真上的不足。尤其在现今的高频通信系统中,大多包含了混频电路结构,使谐波平衡分析方法更加频繁,也越趋重要。另外,针对高度非线性电路,如锁相环中的分频器,ADS 也提供了瞬态辅助谐波平衡的仿真方法,在电路分析时先执行瞬态分析,并将此瞬态分析的结果作为谐波平衡分析时的初始条件来进行电路仿真,此种方法可以有效地解决在高度非线性的电路分析时发生不收敛的情况。4)电路包络分析 电路包络分析包含了时域与频域的分析方法,使用在包含调频信号的电路或通信系统中。电路包络分析借鉴了 SPICE 与谐波平衡两种仿真方法的优点,将较低频的调频信号用时域 SPICE 仿真方法来分析,而较高频的载波信号用频域的谐波平衡仿真方法进行分析。5)射频系统分析 射频系统分析方法给用户提供模拟评估系统特性,其中系统的电路模型除可以使用行为级模型外,也可以使用元件电路模型进行响应验证。射频系统仿真分析包含了上述的线性分析、谐波平衡分析和电路包络分析,分别用于验证射频系统的无源元件与线性系统模型特性、非线性系统模型特性、具有数字调频信号的系统特性。6)托勒密分析 托勒密分析方法可以同时仿真包含数字信号、模拟和高频信号的混合模式系统。ADS分别提供了数字元件模型(如FIR滤波器、IIR滤波器,AND逻辑门、OR逻辑门等)、通信系统元件模型(如QAM调频解调器、Raised Cosine滤波器等)及模拟高频元件模型(如IQ编码器、切比雪夫滤波器、混频器等)在设计中可直接使用。7)电磁仿真分析 ADS 软件提供了 3D 平面电磁仿真分析功能——Momentum,可以用于仿真微带线、带状线、共面波导等元件的电磁特性,天线的辐射特性,以及 PCB 上的寄生、耦合效应。分析得到的 S 参数结果可直接用于谐波平衡和电路包络等电路分析,对电路进行设计与验证。在Momentum电磁分析中提供Momentum微波模式和Momentum射频模式两种模式,用户可以根据电路的工作频段和尺寸选择使用。3.ADS辅助设计功能除了上述的仿真分析功能外,ADS 软件还包含其他辅助设计功能来增加使用的方便性,同时提高电路设计效率。ADS所提供的辅助设计功能简介如下所述。1)设计指南 设计指南说明了示范电路设计的设计流程,用户可以经由这些范例,利用ADS软件高效地设计电路。目前, ADS 所提供的设计指南包括 Amplifier 设计指南、Bluetooth 设计指南、CDMA2000 设计指南、Filter 设计指南、Mixer 设计指南、Oscillator 设计指南、Passive Circuits 设计指南、PLL 设计指南、RF 系统设计指南、TD-SCDMA 设计指南和 Ultra-Wideband 设计指南等。除了使用 ADS 软件自带的设计指南外,用户也可以通过软件中的Design Guide Developer Studio建立自己的设计指南。2)智能仿真向导 智能仿真向导提供“step-by-step”的设定界面,供设计人员进行电路分析与设计,用户可以由图形化界面设定所需验证的电路响应。ADS提供的智能仿真向导包括元件特性(Device Characterization)、放大器(Amplifier)、混频器(Mixer)和线性电路(Linear Circuit)。3)仿真与结果显示模板 为了增加仿真分析的方便性,ADS 软件提供了仿真模板功能,使用户可以将经常重复使用的仿真设定(如仿真控制器、电压电流源、变量参数设定等)制成一个模板,直接使用,避免了重复设定所需的时间和步骤。结果显示模板也具有相同的功能,用户可以将经常使用的绘图或列表格式制作成模板,以减少重复设定所需的时间。除了用户自行建立模板外,ADS 软件也提供了标准的仿真与结果显示模板供选择使用。4)电子笔记本 电子笔记本可以使用户将所设计的电路与仿真结果加入文字叙述,制成一份网页式的报告。电子笔记本制成的报告,无须执行ADS软件即可在浏览器上浏览。4.ADS与其他EDA软件和测试设备间的链接由于现今复杂庞大的电路设计,每个 EDA 软件在整个系统设计中均扮演着“螺丝钉”的角色,因此软件与软件之间、软件与硬件之间、软件与元件厂商之间的沟通与链接也就成为设计中不容忽视的一环。ADS软件与其他设计验证软件、硬件的链接简介如下。1)SPICE 电路转换器 SPICE 电路转换器可以将 Cadence、Spectre、PSPICE、HSPICE及Berkeley SPICE产生的电路转换成ADS格式的电路进行仿真分析。另外,也可以将ADS产生的电路转换成SPICE格式的电路,做布局与电路结构检查与布局寄生抽取等验证。2)电路与布局文件格式转换器 电路与布局文件格式转换器提供用户与其他 EDA 软件链接、沟通的桥梁,由此转换器可以将不同 EDA 软件所产生的文件转换成 ADS 可以使用的文件格式。3)布局转换器 布局转换器可以将其他 CAD 或 EDA 软件所产生的布局文件导入ADS软件编辑使用,可以转换的格式包括IDES、GDSII、DXF和Gerber等。4)SPICE模型产生器 SPICE模型产生器可以将频域分析得到的或是测量仪器得到的S 参数转换为 SPICE 可以使用的格式,以弥补 SPICE 仿真软件无法使用测量或仿真所得到的S参数资料的不足。5)设计工具箱 对于 IC 设计来说,EDA 软件除了需要提供准确、快速的仿真方法外,与半导体厂商的元件模型间的链接更是不可或缺,设计工具箱扮演了 ADS 软件与厂商元件模型间沟通的重要角色。ADS 软件可以利用设计工具箱将半导体厂商的元件模型读入,供用户进行电路的设计、仿真与分析。6)仪器伺服器 仪器伺服器提供了ADS软件与测量仪器链接的功能。用户可以通过仪器伺服器将网络分析仪测量得到的资料或 SnP 格式的文件导入 ADS 软件中进行仿真分析,也可以将软件仿真所得的结果输出到仪器设备(如信号发生器),作为待测元件的测试信号。5.ADS主要仿真器介绍ADS 集成多种仿真软件的优点,仿真手段丰富,功能强大,很快就成为了全球内业界流行的EDA设计工具。下面来详细介绍ADS在射频、模拟电路设计中常用的仿真器及其功能。1)直流仿真 直流仿真是所有仿真的基础,它可执行电路的拓扑检查,以及直流工作点的扫描和分析。2)交流仿真 交流仿真能获取小信号传输参数,如电压增益、电流增益、线性噪声电压和电流。在设计无源电路和小信号有源电路,如低噪声放大器时,此仿真器十分有用。3)S 参数仿真 微波器件在小信号工作时,被认为工作在线性状态,是一个线性网络;在大信号工作时,被认为工作在非线性状态,是一个非线性网络。通常采用 S 参数分析线性网络,采用谐波平衡法分析非线性网络。S 参数是入射波和反射波建立的一组线性关系,在微波电路中通常用于分析和描述网络的输入特性。S 参数中的 S11 和 S22 反映了 I/O 端的驻波特性,S21反映了电路的幅频、相频特性和群时延特性,S12反映了电路的隔离性能。S参数仿真时,将电路视为一个4端口网络,在工作点上将电路线性化,执行线性小信号分析,通过其特定的算法,分析出各种参数值。因此,S参数仿真可以分析线性S参数,线性噪声参数,传输阻抗(Zij)和传输导纳(Yij)。4)谐波平衡仿真 谐波平衡仿真着眼于信号频域特征,擅长处理对非线性电路的分析。如果调制的周期信号可以用简单的几个单载波及其谐波表示出来,或者说若傅里叶级数展开式很简单,谐波平衡仿真是一个有效的分析工具。但是,如果分析的是诸如 CDMA 等信号,不具备简单的周期信号的特点,那么谐波平衡仿真就不能胜任。一般网络(系统)是由线性子网络和非线性子网络组成的。线性子网络的特性可用频域代数方程来描述,而非线性子网络则由时域的非线性方程来描述。平衡时,经傅里叶变换成时域的线性子网络端口电压和电流应满足非线性子网络端口的电压和电流。同样,经傅里叶变换成频域的非线性子网络端口电压和电流应满足线性子网络端口的电压和电流。因此,设定一个最大的谐波数,建立一个线性子网络端口电压(电流)和非线性子网络端口的电压(电流)的误差函数,通过迭代实现稳态的线性子网络和非线性子网络的谐波平衡。采用谐波平衡仿真器可以仿真噪声系数、饱和电平、三阶交调、本振泄漏、镜像抑制、中频抑制和组合干扰等参数。一般而言,利用谐波平衡仿真设计射频放大器、混频器、振荡器是十分有用的。当设计大规模RFIC 或RF/IF子系统时,由于存在大量的谐波和交调成分,谐波平衡仿真必不可少。5)大信号S参数仿真 大信号S参数仿真是谐波平衡仿真的一种,不同的是前者执行大信号S参数分析,因此在设计功放时十分有用;而后者一般只用于小信号S参数分析。6)增益压缩仿真 增益压缩仿真用于寻找用户自定义的增益压缩点,它将理想的线性功率曲线与实际的功率曲线的偏离点相比较。在设计射频器件时,可以很方便地找出1dB、3dB压缩点。7)电路包络仿真 电路包络仿真是近年来通信系统的一项标志性技术,其特点是对于任何类型的高频调制信号,均可分解为时域和频域两部分进行处理。在时域上,对相对低频的调制信息进行直接采样处理,而对相对高频的载波成分,则采用类似谐波平衡仿真的方法,在频域进行处理。这样的结合使仿真效率和速度都得到质的飞跃,因此电路包络仿真是目前进行数模混合仿真和数字微波系统仿真最有效率的工具之一。电路包络仿真多用于涉及调制解调,以及混合调制信号的电路和系统中。在通信中(如CDMA、GSM、QPSK和QAM等),在雷达中(如LFM波、非线性调频波和脉冲编码等)均可用电路包络仿真进行仿真。8)瞬态仿真 瞬态仿真是传统的SPICE软件的代表。SPICE软件可以说是所有电路仿真软件的鼻祖。它采用最原始的算法,即直接在时域对电流、电压列节点方程,采用“一刀切”的方式,能够对所有的模拟电路、数字电路进行仿真。但是,对于高频信号很难用SPICE 进行仿真,因为根据 Nyquist 采样定理,仿真时直接采样,软件必须能够对射频载波进行至少2倍频率以上的采样和处理才能够准确、真实地反映系统的实际情况,即时域上的相位、幅度信息,以及频域上的频率特性等。以处理一个 8GHz 的射频载波为例,要分析1s 的信号,CPU 至少要处10理 2.4×10点的数据。因此,在很多情况下,很难用瞬态进行仿真对高频系统进行仿真。以上是对 ADS 主要仿真器的功能描述。由于每个仿真器只能完成特定指标的仿真,因此在仿真完整电路时,必须调用多个仿真器才能完成所有指标的仿真。电路在仿真时,一次只能执行一个仿真器的仿真,在执行此仿真时,别的仿真器应处于非激活状态。对于微波/射频电路和系统设计,最常用的是S参数仿真、电路包络仿真和谐波平衡仿真等。表1-1列出了使用ADS仿真工具设计常用的微波/射频电路设计所要用到的仿真器。表1-1 典型微薄/射频电路设计所需ADS仿真器1.2 ADS2009安装过程ADS2009 的安装过程与其他 Windows 应用程序类似。下面介绍该软件的具体安装步骤。(1)打开 ADS2009 的安装光盘,双击“setup.exe”文件,弹出如图 1-1 所示的解压安装文件窗口。(2)解压文件结束后,弹出“Introduction”窗口,如图 1-2 所示,左侧列出了安装步骤。图1-1 解压安装文件窗口图1-2 安装引导窗口3)单击按钮,弹出“License Agreement”窗口,如图1-3所示。(4)选中“I accept the terms of the License Agreement”选项,单击按钮,弹出“Customer Information”对话框,如图1-4所示。在“User Name”和“Company Name”栏中输入相应信息。(5)单击按钮,弹出“Choose Install Set”对话框,如图1-5所示。有“Complete”和“Custom”两种安装模式。单击“Complete”图标,选择“Complete”模式。(6)单击按钮,弹出“Choose Install Folder”对话框,如图1-6所示。默认路径是“C:\ADS2009”,该软件安装完成后占用空间约为 5.52GB,根据个人计算的情况选择合理路径安装。本例选择路径为“M:\ADS2009”。图1-3“License Agreement”窗口图1-4“Customer Information”对话框(7)单击按钮,弹出“Set Your Home Directory”对话框,如图1-7所示。默认路径是“C:\users\default”。在此可以修改文件保存路径,如设置为“M:\user\default”。图1-5“Choose Install Set”对话框图1-6“Choose Install Folder”对话框图1-7“Set Your Home Directory”对话框(8)单击按钮,弹出“Pre-Installation Summary”对话框,如图1-8所示。图1-8“Pre-Installation Summary”对话框(9)单击按钮,软件开始安装,安装进度窗口如图1-9所示,大约需要30min。(10)安装完成后,弹出“License Information”对话框,提示用户购买安装License信息,如图1-10所示。( 11 )单击按钮,弹出提示安装硬件加密驱动窗口,提示用户安装硬件加密驱动,如图1-11所示。(12)单击按钮,弹出是否安装硬件加密驱动对话框,如图 1-12 所示。根据用户实际情况选择“Yes”或“No”。本书选择“No”。(13)单击按钮,弹出“Install Complete”对话框,提示安装过程结束如图1-13所示。图1-9 安装进度窗口图1-10“License Information”对话框图1-11 提示用户安装硬件加密驱动图1-12 是否安装硬件加密驱动对话框图1-13“Install Complete”对话框1.3 ADS2009操作窗口介绍ADS 操作窗口主要包括主窗口、设计环境视窗(原理图设计视窗、DSP/通信系统设计视窗、布线/电磁模拟视窗)、仿真状态窗口和数据显示窗口等。1.主窗口ADS 软件主窗口主要用于创建/打开工程、文件管理和工程管理等。启动 ADS 软件,弹出ADS软件主窗口,如图1-14所示,主要包含菜单栏、工具栏、文件浏览区和工程管理区4个部分。图1-14 ADS主窗口1 )菜单栏 包含【File】、【View】、【Tools】、【Window】、【DesignKit】、【DesignGuide】和【Help】7个下拉菜单。(1)File:主要包括工程和电路图建立、打开、保存等功能,如图1-15所示。图1-15 【File】子菜单(2)View:主要包括主窗口外观管理和内容显示等功能,如图1-16所示。图1-16 【View】子菜单(3)Tools:主要是对ADS软件系统进行各种设置和管理,如图1-17所示。图1-17 【Tools】子菜单(4)Window:主要是对ADS各窗口进行管理,如图1-18所示。图1-18 【Window】子菜单(5)DesignKit:主要是对设计包进行管理,包括安装、删除等,如图1-19所示。图1-19 【DesignKit】子菜单(6)DesignGuide:主要是给用户提供设计向导,同时用户也可以自制设计向导,如图1-20所示。(7)Help:主要是针对使用 ADS 软件的用户提供各种帮助,并显示文档和版本信息等内容,如图1-21所示。

试读结束[说明:试读内容隐藏了图片]

下载完整电子书


相关推荐

最新文章


© 2020 txtepub下载