数字逻辑电路实验(txt+pdf+epub+mobi电子书下载)


发布时间:2020-06-17 15:07:25

点击下载

作者:侯传教,刘霞,等

出版社:电子工业出版社

格式: AZW3, DOCX, EPUB, MOBI, PDF, TXT

数字逻辑电路实验

数字逻辑电路实验试读:

前言

电子技术是高等工科院校实践性很强的技术基础课程,为培养高素质的专业技术人才,在理论教学的同时,必须十分重视和加强实践性教学环节。如何在实践教学过程中培养学生的实验能力、实际操作能力、独立分析问题和解决问题的能力、创新思维能力和理论联系实际的能力是高等工科院校着力探索与实践的重大课题。

本书是为高等学校自控类、电子类和其他相近专业而编写的实验教材。在编写的过程中,参照教育部高等学校电子信息与电气学科教学指导委员会、电气基础课程教学指导委员会提出的“数字电路与逻辑设计”课程教学基础要求而编写。

本书内容包括数字电路实验的基础知识、数字门电路、组合逻辑电路、时序逻辑电路、混合电路、Experiments of Digital Circuits、数字电路应用设计与数字电路实验参考资料,详细介绍了数字逻辑电路的类型及使用常识,典型芯片的功能,并对常见的数字电路进行了逻辑仿真,对电路实际测试提出指导,给出了基于可编程器件实现常见电路的VHDL程序及仿真,以及电路故障的诊断方法。为便于双语学习,用英语编写了本书部分实验内容。在实验安排上既考虑与理论教学保持同步,又注重学生实际工程设计能力的培养,减少验证性实验,增加设计性、综合性实验,给学生留出发展个性和创新的空间。在内容的编排上着力做到多一点启发,多一点引导,多一点设计和实验举例,多一些思路上的提示。

本教材特色:(1)本书以“保证基础,体现先进,联系实际,引导创新”为指导思想,紧紧围绕数字电路设计和应用的主线,教辅结合,融入应用工具软件。(2)选题针对课程特点,根据教学要求,在编写中注重学习能力的提高,融知识与技能、过程与方法、情感态度与价值观于一体。(3)打破传统教材体系结构,按培养学生的能力层次编排实验教学项目。(4)对实验项目进行了精选,删去了部分过于陈旧的传统实验项目,合并了部分基础实验,增加教学信息量,加大基础训练内容,提高教学起点。(5)探索EDA技术与数字电路实验的结合,在实验层次编排上将电路仿真与可编程器件渗透到具体的实验中。

需要说明的是,本书的一些电路图取自Multisim等软件,因此保留了其原形,其中一些元器件的符号与现有的国家标准有一定差异。

本书第4章、第7章、6.6节、8.2节、8.3节、8.4节及全书中基于可编程器件实现常见电路的VHDL程序和仿真由侯传教编写,第3章、第5章及8.5节由刘霞编写,第1章及7.1节、7.2节由杨智敏和刘颖编写,第2章、8.1节、8.6节及本书的课件由魏青梅编写,第6章及其课件由李宇博编写,戴旭瑞、吕静和李娜同学参与了资料的整理,全书由侯传教统稿。空军工程大学电讯工程学院训练部副部长高利平副教授在百忙中审阅了全书并提出了修改意见,在此表示衷心的感谢。孟涛副教授、王宽仁副教授及杨永民老师分别审阅了部分章节,在此表示感谢。空军工程大学电子线路教研室的老师对本教材提出了许多宝贵的意见和修改建议,在此表示感谢。在本书的编写过程中,参考了大量的国内外著作和有关院校的部分实验内容,并引用了其中一些资料,难以一一列举,在此表示衷心感谢。

由于编者水平有限,书中必有许多不妥之处,敬请读者批评指正。

编者

2009年6月于西安第1章 数字电路实验基础1.1 概述

数字电路实验是根据教学、生产和科研的具体要求进行电路设计、安装与调试的过程,它是一门验证理论,巩固所学理论知识,培养实际运用知识的能力,具有较强实践性的一门课程。通过数字电路实验,使学生正确掌握常用电子仪器的使用方法,了解其基本原理,逐步掌握数字电路从基本功能完成到系统实现的方法,从而有效地培养学生理论联系实际和解决实际问题的能力,树立科学、严谨的工作作风。

1.对学生的具体要求(1)能读懂基本电路图,具有分析电路作用或功能的能力;会查阅和利用技术资料,识别集成电路的引脚,了解集成电路的功能及典型应用方法。(2)具有设计、仿真数字电路的能力。(3)具有组装和调试基本电路的能力,合理选用门电路、触发器、寄存器、计数器、译码器等元器件,并能按电路图接线、查线和排除简单的线路故障。(4)掌握常用电子仪器的选择与使用方法,以及各类电路性能指标(或功能)的基本测试方法。(5)能独立写出基本电路的实验步骤,以及态度严谨、有理论分析、实事求是、文字通顺和字迹端正的实验报告。

2.数字电路实验的特点(1)理论性强。没有正确的理论指导,就不可能设计出性能稳定、符合技术要求的实验电路,也不可能拟订出正确的实验方法和步骤。因此,要做好实验,首先要学好数字电路理论课程。(2)工艺性强。有了成熟的实验电路方案,但由于装配工艺不合理,不会取得满意的实验结果,甚至导致实验失败(高频数字电路实验尤为如此)。因此,需要认真掌握电子工艺技术。(3)测试技术要求高。实验电路类型繁多,不同电路要求其功能或性能指标不同,采用的测试仪器和测试方法也不同。因此,应熟练掌握基本电子测量技术和各种测量仪器的使用方法。

3.实验安全

实验安全包括人身安全和设备安全。

1)人身安全(1)实验时不得赤脚,实验室地面最好铺设绝缘良好的地板(或垫),各种仪器设备应有良好的接地。(2)仪器设备、实验装置中通过强电的连接导线应有良好的绝缘外套,芯线不得外露。(3)实验电路接好后,检查无误后方可接入电源。应养成先接实验电路后接通电源,实验完毕先断开电源后拆除实验电路的操作习惯。另外,在接通交流220V前,应通知实验合作者。(4)万一发生触电事故时,应迅速切断电源。如距电源开关较远,可用绝缘工具将电源线切断,使触电者立即脱离电源并采取必要的急救措施。

2)仪器安全(1)使用仪器前,应认真阅读使用说明书,掌握仪器的使用方法和注意事项。(2)使用仪器应按要求正确接线。(3)实验中要有目的地扳(旋)动仪器面板上的开关(或旋钮),扳(旋)动时切忌用力过猛。(4)实验过程中,精神必须集中。当嗅到焦臭味、见到冒烟和火花、听到噼啪声、感到设备过烫及出现保险丝熔断等异常现象时,应立即切断电源,故障未排除前不准再次开机。(5)搬动仪器设备时,必须轻拿轻放。未经允许不准随意调换仪器,更不准擅自拆卸仪器设备。(6)仪器使用完毕,应将面板上相关旋钮、开关置于合适位置。例如,电压表量程开关应旋至最高挡位等。1.2 实验的基本过程

实验的基本过程应包括:确定实验内容,选定最佳的实验方案和实验线路,拟出较好的实验步骤,选择合理的仪器设备和元器件,进行连接安装和调试,最后写出完整的实验报告。1.2.1 实验预习

认真预习是做好实验的关键。预习好坏,不仅关系到实验能否顺利进行,而且直接影响到实验效果。预习应按实验预习要求进行,在每次实验前首先要认真复习有关实验的基本原理,掌握器件性能特点及使用方法,对如何着手实验做到心中有数。同时,实验前写出一份预习报告,其内容包括:(1)绘出设计好的实验电路图,该图应该是逻辑图和连线图的混合,既便于连线,又能反映出电路原理,并在图上标出器件型号、使用的引脚号及元件数值,必要时还要用文字说明。(2)写出实验方法和步骤。(3)画好记录实验数据的表格和波形坐标。(4)列出元器件清单。1.2.2 实验中的EDA仿真

在当今电子设计领域,EDA仿真是一个十分重要的设计环节。通过EDA仿真技术,首先验证数字电路的实验结果,然后再用真实的元器件进行实际电路的安装和调试,避免了实际操作中元器件的耗损,使电路调试快捷、方便。同时,还能实现数字系统结构或电路特性模拟及参数优化设计。

常见的仿真软件有Multisim,它具备SPICE分析功能,并且可以对模拟与数字混合电路用虚拟工作台方式进行实时仿真,可以用虚拟的仪器仪表对电路模型进行观测。Multisim仿真模拟实验,其过程非常接近实际操作效果,元器件选择范围广,参数修改方便。Multisim仿真流程如图1.1所示。图1.1 Multisim仿真流程图1.2.3 实验中的操作规范

正确的操作方法和操作程序,是顺利进行实验的保障。因此,要求在每个操作步骤之前都要做到心中有数,即目的要明确。操作时既要迅速又要认真,应注意以下几点:(1)应调整好直流电源电压,使其极性和大小满足实验要求。调整好信号源电压,使其大小满足实验要求。(2)搭接电路时,应遵循正确的操作步骤,即按照先接线后通电、做完后先断电再拆线的步骤。(3)利用无焊接实验电路板(俗称面包板)插接电路时,要确保连接点接触良好和电路布局合理,为调试操作创造方便有利的条件,避免因接入测试探头而造成短路或其他故障。(4)在通电的情况下,不得拔、插(或焊接)器件,这些操作应在关闭电源后进行。(5)电路调试时应按先静态、后动态的顺序进行。(6)仔细观察实验现象,完整准确地记录实验数据并与理论值进行比较分析。(7)实验完毕,应将实验台清理干净、摆放整齐。1.2.4 布线原则

布线应直观,以便检查,还要合理,以便降低或消除各种因素引起的干扰。在数字电路实验中,错误布线引起的故障常占很大比例。布线错误不仅会引起电路故障,严重时甚至会损坏器件,因此注意布线的合理性和科学性是十分必要的。正确布线的原则大致有以下几点:(1)接插集成电路芯片时,先校准芯片两排引脚,使之与引脚上的插孔对应,轻轻用力将芯片插上,在确定引脚与插孔完全吻合后,再稍用力将其插紧,以免造成集成电路的引脚弯曲、折断或者接触不良。(2)分清集成电路芯片引脚的排列方向,一般双列直插式IC排列的方向是缺口(或标记)朝左,引脚序号从左下方的第一个引脚开始,按逆时钟方向依次递增至左上方的第一个引脚。(3)导线应粗细适当,一般选取直径为0.6~0.8mm的单股导线,最好采用各种色线以区别不同用途,如电源线用红色,地线用黑色。(4)布线应有秩序地进行,随意乱接容易造成漏接、错接,较好的方法是接好固定电平点,如电源线、地线、门电路闲置输入端、触发器异步置位复位端等,再按信号源的顺序从输入到输出依次布线。(5)连线应避免过长,避免从集成器件上方跨接,避免过多的重叠交错。(6)当实验电路的规模较大时,应注意集成元器件的合理布局,以便得到最佳布线。布线时,顺便对单个集成器件进行功能测试。这是一种良好的习惯,实际上这样做不会增加布线工作量。(7)应当指出,布线和调试工作是不能截然分开的,往往需要交替进行,对大型实验元器件较多的,可将总电路按其功能划分为若干相对独立的部分,逐个布线、调试,然后将各部分连接起来。1.2.5 数字电路测试

数字电路测试可分为静态测试和动态测试两部分。静态测试是给定数字电路若干组静态输入值,测试数字电路的输出值是否正确。数字电路设计好后,在实验台上连接成一个完整的线路,把线路的输入接电平开关输出,线路的输出接电平指示灯,按功能表或状态表的要求,改变输入状态,观察输入和输出之间的关系是否符合设计要求。静态测试是检查设计是否正确、接线是否无误的重要一步。

在静态测试基础上,按设计要求在输入端加动态脉冲信号,观察输出端波形是否符合设计要求,这是动态测试。有些数字电路只需进行静态测试即可,有些数字电路则必须进行动态测试。一般来说,时序电路应进行动态测试。

1.组合逻辑电路的测试

组合逻辑电路测试的目的是验证其逻辑功能是否符合设计要求,也就是验证其输出与输入的关系是否与真值表相符。

1)静态测试

静态测试是在电路静止状态下测试输出与输入的关系。将输入端分别接到逻辑电平开关上,用电平显示灯分别显示各输入和输出端的状态。按真值表将输入信号一组一组依次送入被测电路,测出相应的输出状态,与真值表相比较,以判断组合逻辑电路静态工作是否正常。

2)动态测试

动态测试是测试组合逻辑电路的频率响应。在输入端加上周期性信号,用示波器观察输入、输出波形,测出与真值表相符的最高输入脉冲频率。

2.时序逻辑电路的测试

时序逻辑电路测试的目的是验证其状态的转换是否与状态图或时序图相符合。可用电平显示灯、数码管或示波器等观察输出状态的变化。

常用的测试方法有两种,一种是单拍工作方式:以单脉冲源作为时钟脉冲,逐拍进行观测,判断输出状态的转换是否与状态图相符;另一种是连续工作方式:以连续脉冲源作为时钟脉冲,用示波器观察波形,判断输出波形是否与时序图相符。1.2.6 数字电路的故障查找和排除

1.数字电路的故障类型

在数字电路实验中,出现问题是难免的,重要的是分析问题,找到出现问题的原因,从而解决它。通常,有四个方面的原因造成错误:器件故障、接线错误、设计错误和测试方法不正确。在查找故障过程中,首先要熟悉经常发生的典型故障。

1)器件故障

器件故障是器件失效或器件接插问题引起的故障,表现为器件工作不正常。若器件失效则要进行更换。器件接插问题,如引脚折断或者器件的某个(或某些)引脚没插到插座中等,也会使器件工作不正常。器件接插故障有时不易发现,需仔细检查,判断器件失效的方法是用集成电路测试仪进行测试。需要指出的是,一般的集成电路测试仪只能检测器件的某些静态特性,对负载能力等动态特性和上升沿、下降沿、延迟时间等特性不能测试。

2)接线错误

接线错误是最常见的错误。据统计,在实验过程中,大约70%以上的故障是由接线错误引起的。常见的接线错误包括:没有接器件的电源和地;连线与插孔接触不良;连接线内部线断;连线多接、漏接、错接;连线过长、过乱,造成干扰。

接线错误造成的现象多种多样。例如,器件的某个功能块不工作或工作不正常,器件不工作或发热,电路中一部分工作状态不稳定等。解决方法大致包括:熟悉所用器件的功能及其引脚号,掌握器件每个引脚的功能;器件的电源和地一定要接对、接好;检查连线和插孔接触是否良好;检查连线有无错接、多接、漏接;检查连线中有无断线。最重要的是接线前要画出接线图,按图接线,不要凭记忆随想随接;接线要规范、整齐,尽量走直线、短线,以免引起干扰。

3)设计错误

设计错误会造成与预想的结果不一致,原因是对实验要求没有吃透,或者对所用器件的原理没有掌握好。因此,实验前一定要理解实验要求,掌握实验线路原理,精心设计。初始设计完成后一般应对设计进行优化,最后画好逻辑图及接线图。

4)测试方法不正确

如果不发生前面所述三种错误,实验一般会成功。但有时测试方法不正确也会引起观测错误。例如,一个稳定的波形,如果用示波器观测,而示波器没有调好同步,会造成波形不稳的假象,因此要学会正确使用所用仪器、仪表。在数字电路实验中,尤其要学会正确使用示波器。在对数字电路测试过程中,由于测试仪器、仪表加到被测电路上后,对被测电路来说相当于一个负载,因此测试过程也有可能引起电路本身工作状态的改变,这一点应引起足够注意。不过,在数字电路实验中,这种现象很少发生。

2.常见的故障检查方法

实验中发现结果与预期不一致时,不要慌乱,应仔细观察现象,冷静思考分析。首先检查仪器、仪表的使用是否正确。在排除错误使用仪器、仪表的前提下,按照逻辑图和接线图逐级查找,通常从发现问题的地方,逐级向前测试,直到找出故障的初始位置。在故障的初始位置处,首先检查连线是否正确。实验故障绝大部分是由接线错引起的,因此检查一定要认真、仔细。确认接线无误后,检查器件引脚是否正确插进插座,有无引脚折断、弯曲、错插问题。确认无上述问题后,取下器件测试,以检查器件好坏,或者直接换一个新器件。如果器件和接线都正确,则需考虑设计问题,具体方法如下所述。(1)查线法:由于在实验中大部分故障都是由于布线错误引起的,因此,产生故障后,应着重检查有无漏线、错线,导线与插孔接触是否可靠,集成电路是否插牢、是否插反等。(2)测量法:用万用表直接测量各集成块的V端是否加上电源CC电压,然后把输入信号、时钟脉冲等加到实验电路上,观察输出端有无反应。针对某一故障状态,用万用表测试各输入/输出端的直流电平,从而判断是否由于插座板、集成块引脚连接线等原因造成故障。(3)信号注入法:在电路的每一级输入端加上特定信号,观察该级输出响应,从而确定该级是否存在故障,必要时可以切断周围连线,避免相互影响。(4)信号寻迹法:在电路的输入端加上特定信号,按照信号流向逐级检查是否有响应,必要时输入不同信号进行测试。(5)替换法:对于多输入端器件,如有多余端则可调换另一输入端试用,必要时可更换器件。(6)动态逐级跟踪检查法:对于时序电路,可输入时钟信号,按信号流向依次检查各级波形,直到找出故障点为止。(7)断开反馈线检查法:对于含有反馈线的闭合电路,应该设法断开反馈线进行检查,或进行状态预置后再检查。

以上检查故障的方法,是指在仪器工作正常的前提下进行的,如果实验时电路功能测不出来,则应首先检查供电,若电源电压已加上,便可把有关输出端直接接到0-1显示器上检查,若逻辑开关无输出或单次CP无输出,则是开关接触不好或是内部电路坏了,一般是集成器件坏了。

需要强调指出,实验经验对于检查故障是大有帮助的,只要充分预习,掌握基本理论和实验原理,就不难用逻辑思维的方法较好地判断和排除故障。1.2.7 实验记录和实验报告

实验记录是实验过程中获得的第一手资料,所以记录必须清楚、合理、正确,若不正确,则要现场及时重复测试,找出原因。实验记录应包括如下内容:(1)实验任务及实验内容;(2)实验数据和波形及实验过程中出现的现象,从记录中应能初步判断实验的正确性;(3)记录波形时,应注意输入、输出波形的时间相位关系,在坐标中正确画出;(4)实验中实际使用的仪器型号和编号以及元器件使用情况等。

实验报告是培养学生科学实验总结能力和分析思维能力的有效手段,也是一项重要的基本功训练,它能很好地巩固实验成果,加深对基本理论的认识和理解,从而进一步扩大知识面。其目的是培养学生对实验结果的处理和分析能力、文字表达能力及严谨的科学态度。实验报告应包括实验目的、仪器设备、实验内容及线路连接图、实验数据及波形图,整理实验结果,对实验现象及结果的分析讨论,实验的收获和体会、意见建议等。

实验报告是一份技术总结,要求文字简洁,内容清楚,图表工整,其中实验内容和结果是报告的主要部分,它应包括实际完成的全部实验,并且要按实验任务逐个写,每个实验任务应有如下内容:(1)实验课题的方框图、逻辑图(或测试电路)、状态图、真值表及文字说明等,对于设计性课题,还应有整个设计过程和关键的设计技巧说明。(2)实验记录和经过整理的数据、表格、曲线和波形图,其中表格、曲线和波形图应使用专用实验报告简易坐标格,并且用三角板、曲线板等工具描绘,力求画得准确,不得随手示意画。(3)实验结果分析、讨论及结论,对讨论的范围没有严格要求,一般应对重要的实验现象、结论加以讨论,以便进一步加深理解。此外,对实验中的异常现象,可进行一些简要说明。对实验中的收获,可谈一些心得体会。1.3 数字集成电路简介1.3.1 概述

当今,数字电路几乎已完全集成化了。因此,充分掌握和正确使用数字集成电路,以构成数字逻辑系统,就成为数字电子技术的核心内容之一。

集成电路按集成度可分为小规模、中规模、大规模和超大规模。小规模集成电路(SSI)是在一块硅片上制成约1~10个门,通常为逻辑单元电路,如逻辑门、触发器等。中规模集成电路(MSI)的集成度约为10~100门/片,通常是逻辑功能电路,如译码器、数据选择器、计数器、寄存器等。大规模集成电路(LSI)的集成度约为100门/片以上,超大规模集成电路(VLSI)约为1000门/片以上,通常是一个小的数字逻辑系统。现已制成规模更大的超大规模集成电路。

数字集成电路还可分为双极型电路和单极型电路两种。双极型电路中有代表性的是TTL电路,单极型电路中有代表性的是CMOS电路。国产TTL集成电路的标准系列为CT54/74系列或CT0000系列,其功能和外引线排列与国际54/74系列相同。国产CMOS集成电路主要为CC(CH)4000系列,其功能和外引线排列与国际CD4000系列相对应。高速CMOS系列中,74HC和74HCT系列与TTL74系列相对应,74HC4000系列与CC4000系列相对应。

本书将部分数字集成电路的逻辑表达式、外引线排列图列于第8章。逻辑表达式或功能表描述了集成电路的功能及输出与输入之间的逻辑关系。为了正确使用集成电路,应该对它们进行认真研究,深入理解,充分掌握。另外,还应对使能端的功能和连接方法予以充分的注意。

必须正确了解集成电路参数的意义和数值,并按规定使用。特别是必须严格遵守极限参数的限定,因为即使瞬间超出,也会使器件损坏。1.3.2 TTL器件的特点和工作条件

TTL器件的特点如下:(1)输入端一般有钳位二极管,减少了反射干扰的影响;(2)输出电阻低,增强了带容性负载的能力;(3)有较大的噪声容限;(4)采用+5 V的电源供电。

为了正常发挥器件的功能,应使器件在推荐的条件下工作,对CT0000系列(74LS系列)器件,主要有:(1)电源电压在4.75~5.25 V的范围内;(2)环境温度在0~70 ℃之间;(3)高电平输入电压V>2 V,低电平输入电压V<0.8 V;IHSL(4)输出电流应小于最大推荐值(查手册);(5)工作频率不能高,一般的门和触发器的最高工作频率约30 MHz。1.3.3 TTL器件使用须知(1)电源电压应严格保持在5 V±10%的范围内,过高易损坏器件,过低则不能正常工作。实验中一般采用稳定性好、内阻小的直流稳压电源。使用时,应特别注意电源与地线不能错接,否则会因电流过大而造成器件损坏。(2)多余输入端最好不要悬空,虽然悬空相当于高电平,并不影响与门(与非门)的逻辑功能,但悬空时易受干扰。为此,与门、与非门多余输入端可直接接到V上,或通过一个公用电阻(几千CC欧)连到V上。若前级驱动能力强,则可将多余输入端与使用端并CC接;不用的或门、或非门输入端直接接地,与或非门不用的与门输入端至少有一个要直接接地;带有扩展端的门电路,其扩展端不允许直接接电源。(3)输出端不允许直接接电源或接地,但可以通过电阻与电源相连;不允许直接并联使用(集电极开路门和三态门除外)。(4)应考虑电路的负载能力(即扇出系数),要留有余地,以免影响电路的正常工作。扇出系数可通过查阅器件手册或计算获得。(5)在高频工作时,应通过缩短引线、屏蔽干扰源等措施,抑制电流的尖峰干扰。1.3.4 CMOS数字集成电路的特点(1)静态功耗低:电源电压V=5 V的中规模电路的静态功耗小DD于100μW,从而有利于提高集成度和封装密度,降低成本,减小电源功耗。(2)电源电压范围宽:4000系列CMOS电路的电源电压范围为3~18 V,从而使选择电源的余地大,电源设计要求低。(3)输入阻抗高:正常工作的CMOS集成电路,其输入端保护二极管处于反偏状态,直流输入阻抗可大于100 MΩ;在工作频率较高时,应考虑输入电容的影响。(4)扇出能力强:在低频工作时,一个输出端可驱动50个以上的CMOS器件的输入端,这主要因为CMOS器件的输入电阻高的缘故。(5)抗干扰能力强:CMOS集成电路的电压噪声容限可达电源电压的45%,而且高电平和低电平的噪声容限值基本相等。(6)逻辑摆幅大:空载时,输出高电平V>V-0.05 V,输出OHDD低电平V<V+0.05 V。OLSS

CMOS集成电路还有较好的温度稳定性和较强的抗辐射能力。其不足之处是,一般CMOS器件的工作速度比TTL集成电路低,功耗随工作频率的升高而显著增大。

CMOS器件的输入端和V之间接有保护二极管,除了电平变换SS器等一些接口电路外,输入端和正电源V之间也接有保护二极管。DD因此,在正常运转和焊接CMOS器件时,一般不会因感应电荷而损坏器件。但是,在使用CMOS数字集成电路时,输入信号的低电平不能低于V-0.5 V,除某些接口电路外,输入信号的高电平不得高于VSSDD+0.5 V,否则可能引起保护二极管导通,甚至可能使输入级损坏。1.3.5 CMOS器件使用须知(1)电源连接和选择:V端接电源正极,V端接电源负极DDSS(地)。绝对不许接错,否则器件会因电流过大而损坏。对于电源电压范围为3~18 V的系列器件,如CC4000系列,实验中V通常接+5 DDV电源。V电压通常选电源变化范围的中间值,例如,电源电压在8DD~12 V之间变化,则选择V=10 V较恰当。DD

CMOS器件在不同的V值下工作时,其输出阻抗、工作速度和DD功耗等参数都有所变化,设计时要考虑。(2)输入端处理:多余输入端不能悬空。应按逻辑要求接V或DD接V,以免受干扰造成逻辑混乱,甚至还会损坏器件。对于工作速SS度要求不高,而要求增加带负载能力时,可把输入端并联使用。

对于安装在印制电路板上的CMOS器件,为了避免输入端悬空,在电路板的输入端应接入限流电阻R和保护电阻R,当V=+5 VPDD时,R取5.1 kΩ,R一般取100 kΩ~1 MΩ。P(3)输出端处理:输出端不允许直接接V或V,否则将导致DDSS器件损坏,除三态(TS)器件外,不允许两个不同芯片输出端并联使用,但有时为了增加驱动能力,同一芯片上的输出端可以并联。(4)对输入信号V的要求:V的高电平V<V,V的低电平VIIIHDDIIL小于电路系统允许的低电压;当器件V端未接通电源时,不允许信DD号输入,否则将使输入端保护电路中的二极管损坏。1.3.6 数字IC器件的封装

数字IC器件有多种封装形式。为了教学实验方便,实验中所用的74系列器件封装选用双列直插式。图l.2是双列直插封装的正面示意图。图1.2 双列直插封装的正面示意图

双列直插封装有以下特点:(1)从正面(上面)看,器件一端有一个半圆的缺口,这是正方向的标志。缺口左边的引脚号为l,引脚号按逆时针方向增加。图1.2中的数字表示引脚号。双列直插封装IC引脚数有14,16,20,24,28等若干种。(2)双列直插器件有两列引脚,引脚之间的间距是2.54 mm。两列引脚之间的距离有宽(15.24 mm)、窄(7.62 mm)两种。两列引脚之间的距离能够稍微改变,引脚间距不能改变。将器件插入实验台上的插座或者从插座中拔出时要小心,不要将器件引脚弄弯或折断。(3)74系列器件一般左下角的最后一个引脚是GND,右上角的引脚是V。例如,14引脚器件引脚7是GND,引脚14是V;20引CCCC脚器件引脚10是GND,引脚20是V。但也有一些例外,例如,16引CC脚的双JK触发器74LS76,其引脚13(不是引脚8)是GND,引脚5(不是引脚16)是V。所以,使用集成电路器件时要先看清它的CC引脚图,找对电源和地,避免因接线错误而造成器件损坏。1.3.7 数字电路逻辑状态

数字电路是一种开关电路,开关的两种状态“开通”与“关断”常用二元常量0和1来表示。在数字逻辑电路中,区分逻辑电路状态1和0信号的电平一般有两种规定,即正逻辑和负逻辑。正逻辑规定,高电平表示逻辑1,低电平则表示逻辑0;负逻辑规定,低电平表示逻辑1,高电平则表示逻辑0。工程中多数采用正逻辑描述。对于TTL电路正逻辑1电平在2.4~3.6 V之间,逻辑0电平在0.2~0.4 V之间。1.4 数字实验箱简介

数字电路实验箱广泛用于以集成电路为主要器件的数字电子电路实验中,也用于数字电路的设计中。

1.数字电路实验箱组成(1)箱内设有8脚、14脚、16脚、40脚等共9个IC插座,装有4只可调电位器:1 kΩ,47 kΩ,100 kΩ和660 kΩ;还有一些大、小圆孔插座,供插电阻、电容及实验接线等使用;实验接线时,只要用锁紧插头线相互连接即可。(2)箱内配有直流电源(±5 V/2.5 A)、信号源(提供3组方波信号,1组单脉冲P~P)、1组频率可选(1 Hz、10 Hz、100 Hz、1 13kHz、10 kHz、1 MHz)的连续方波和1组T~T的时序信号。14(3)提供1组6位LED显示器、16位逻辑电平输入开关、16位二进制电平显示灯。

2.数字电路实验箱面板图

TKD-4型数字电路实验箱实体面板图如图1.3所示。

3.数字电路实验箱实验区简介

实验区分布IC插座,大部分IC插座电源线地线已连接好,有几个插座电源线地线未接,供电源线地线不在对角线位置的集成电路使用。另有电阻、电容、二极管、三极管插孔及电位器等模拟电路设定区,供脉冲电路、模拟电路实验使用。图1.3 TKD-4型数字电路实验箱实体面板图

4.数字电路实验箱使用注意事项(1)使用前应检查实验箱电源是否正常。先关闭实验箱电源,连接220 V交流电;然后打开电源开关,用电压表测量电源电压是否符合要求;若是时序电路,应检查单次脉冲及信号源的频率及幅度。(2)检查实验箱的输入与输出是否正常,若有故障,应及时检修,要保证每次实验前实验箱正常工作。(3)按实验操作规范完成实验。实验时用专用锁紧式叠插针,插入时顺时针转20°~30°,不要太用力。拆除时,逆时针转20°~30°,不要直接拉导线,以免损坏导线。导线长度的选择要合理,不要太长,同时尽量多用几种颜色。

TKD-4型数字电路实验箱上的接线采用自锁紧插头、插孔(插座)。接线时,把插头插进插孔中,然后将插头按顺时针方向轻轻一拧则锁紧。拔出插头时,首先按逆时针方向轻轻拧一下插头,使插头和插孔之间松开,然后将插头从插孔中拔出。不要使劲拔插头,以免损坏插头和连线。

必须注意,不能带电插、拔器件。插、拔器件只能在断开电源的情况下进行。第2章 集成逻辑门电路

集成逻辑门电路是数字电路的基础,本章简述集成逻辑门电路的类型和使用常识,介绍典型芯片的功能,对常见的门电路进行逻辑仿真,对电路实际测试提出指导,并给出基于可编程器件实现常见门电路的VHDL程序、仿真以及门电路故障诊断方法。2.1 集成逻辑门电路实验目的与要求(1)掌握TTL集成与非门的逻辑功能和主要参数的测试方法。(2)掌握TTL器件的使用规则。(3)进一步熟悉数字电路实验装置的结构,基本功能和使用方法。2.2 集成逻辑门电路基础知识

用来实现基本逻辑关系的电子电路称为逻辑门电路,它是数字电路的基本单元。常用的逻辑门电路在逻辑功能上有与门、或门、与非门、或非门、与或非门、异或门等。2.2.1 集成逻辑门电路的类型及特点

1)集成逻辑门电路逻辑功能分类

门电路按照逻辑功能不同,可以分为与门、或门、与非门、或非门、与或非门和异或门等。表2.1给出了不同门电路的功能描述及特点。表2.1 常见门电路的功能描述及特点续表

2)门电路结构分类及特点

按照集成逻辑门组成的有源器件的不同可分为两大类:一类为双极型晶体管集成电路,它主要有晶体管-晶体管逻辑门(Transistor Transistor Logic,TTL)、射极耦合逻辑门(Emitter Coupled Logic,2ECL)和集成注入逻辑门(Integrated Injection Logic,IL)等几种类型。

另一类为金属-氧化物-半导体场效应晶体管(Metal Oxide Semiconductor,MOS)集成电路,它又可分为NMOS(N沟道增强型MOS管构成的逻辑门)、PMOS(P沟道增强型MOS管构成的逻辑门)和CMOS(利用PMOS管和NMOS管互补电路构成的门电路,故又叫做互补MOS门)等几种类型。

目前数字系统中普遍使用TTL和CMOS集成电路。TTL集成电路工作速度高、驱动能力强、但功耗大、集成度低;CMOS集成电路集成度高、功耗低。超大规模集成电路基本上都是MOS集成电路,其缺点是工作速度略低。2.2.2 典型门电路芯片

表2.2给出了几种常见门电路芯片引脚排列及功能表。表2.2 常见门电路芯片引脚排列及功能表续表续表2.2.3 TTL门电路的主要参数

1)低电平输出电源电流I和高电平输出电源电流ICCLCCH

与非门处于不同的工作状态,电源提供的电流是不同的。I是CCL指所有输入端悬空,输出端空载时,电源提供器件的电流。I是指CCH输出端空载,每个门各有一个以上的输入端接地,其余输入端悬空,电源提供给器件的电流。通常I>I,它们的大小标志着器件静CCLCCH态功耗的大小,器件的最大功耗为P=VI。CCLCCCCL

2)低电平输入电流I和高电平输入电流IiLiH

I是指被测输入端接地,其余输入端悬空,输出端空载时,由被iL测输入端流出的电流值。在多级门电路中,I相当于前级门输出低电iL平时,后级向前级门灌入的电流,因此它关系到前级门的灌电流负载能力,即直接影响前级门电路带负载的个数,因此希望I小一些。iL

I是指被测输入端接高电平,其余输入端接地,输出端空载时,iH流入被测输入端的电流值。在多级门电路中,它相当于前级门输出高电平时,前级门的拉电流负载,其大小关系到前级门的拉电流负载能力,希望I小些。由于I较小,难以测量,一般不测量。iHiH

3)扇出系数NO

扇出系数N是指门电路能驱动同类门的个数,它是衡量门电路O负载能力的一个参数。TTL与非门有两种不同性质的负载,即灌电流负载和拉电流负载,因此有两种扇出系数,即低电平扇出系数N和OL高电平扇出系数N。通常I<I,则N>N,故常以N作为门OHiHiLOHOLOL的扇出系数。

4)电压传输特性

门的输出电压V随输入电压V而变化的曲线V=f(V)称为门的Oioi电压传输特性,通过它可读得门电路的一些重要参数,如输出高电平 V、输出低电平V、关门电平V、开门电平V、阈值电平OHOLOffONV及抗干扰容限V、V等值。TNLNH

5)平均传输延迟时间tpd

t是衡量门电路开关速度的参数,它是指输出波形边沿的0.5 Vpdm至输入波形对应边沿0.5 V点的时间间隔,如图2.1所示。m图2.1(a)传输延迟特性图2.1(b)t的测试电路pd

图2.1(a)中的t为导通延迟时间,t为截止延迟时间,平均pdLpdH传输延迟时间为

t的测试电路如图2.1(b)所示,由于TTL门电路的延迟时间较pd小,直接测量对信号发生器和示波器的性能要求较高,故实验采用测量由奇数个与非门组成的环形振荡器的振荡周期T来求得。其工作原理是:假设电路在接通电源后某一瞬间,电路中的A点为逻辑1,经过三级门的延迟后,使A点由原来的逻辑1变为逻辑0;再经过三级门的延迟后,A点电平又重新回到逻辑1。电路中其他各点电平也跟随变化。说明使A点发生一个周期的振荡,必须经过6级门的延迟时间,因此平均传输延迟时间为

TTL电路的t一般在10~40 ns之间。pd2.2.4 集成门电路的使用规则

1.TTL集成门电路使用规则(1)接插集成块时,必须关闭电源,要认清定位标记,不得插反。(2)电源电压使用范围在+4.5~+5.5 V之间,实验中要求使V=+5 V,电源极性绝对不允许接错。CC(3)闲置输入端的处理方法如下所述。

悬空相当于正逻辑1,对于一般TTL型与非门的空闲输入端,实验时允许悬空。但悬空的输入端易受外界干扰,导致电路的逻辑功能不正常,因此,对于接有长线的输入端,中规模以上的集成电路和使用集成电路较多的复杂电路,所有控制输入端必须按逻辑要求接入电路,不允许悬空。

按照电路的逻辑关系将空闲输入端接电源电压V或接地(也可CC以串入一只1~10 kΩ的固定电阻)或接至某一固定电压(V、V)OHOL的电源上。

若前级驱动能力允许,可以与使用的输入端并联。(4)输入端通过电阻接地,电阻值的大小将直接影响电路所处的状态。当R≤680Ω时,输入端相当于逻辑0;当R≥4.7 kΩ时,输入端相当于逻辑1。对于不同系列的器件,要求的阻值不同。(5)输出端不允许并联使用(集电极开路和三态电路除外),否则不仅会使电路逻辑功能混乱,还会导致器件损坏。(6)输出端不允许直接接地或直接接+5 V电源,否则将损坏器件,有时为了使后级电路获得较高的输出电平,允许输出端通过电阻R接至V,一般取R=3~5.1 kΩ。CC

2.CMOS电路的使用规则

由于CMOS电路有很高的输入阻抗,这给使用者带来了一定的麻烦,即外来的干扰信号很容易在一些悬空的输入端感应出很高的电压,损坏器件。CMOS电路的使用规则如下所述。(1)V接电源正极,V接电源负极(通常接地⊥),不得接DDSS反。CC4000(CD4000)系列的电源允许电压在+3~+18 V范围内选择,实验中一般要求使用+5 V。(2)所有输入端一律不准悬空,闲置输入端的处理方法:

● 按照逻辑要求,直接接V(与非门)或V(或非门);DDSS

● 在工作频率不高的电路中,允许输入端并联使用;

● 输出端不允许直接与V或V连接,否则将导致器件损坏;DDSS

● 在连接或改变电路接线,或拔、插电路时,均应切断电源,严禁带电操作。(3)焊接、测试和存储注意事项:

● 集成电路应存放在导电的容器内,有良好的静电屏蔽;

● 焊接时必须切断电源,电烙铁外壳有良好接地,或拔下烙铁,靠其余热焊接;

● 所有的测试仪器必须良好接地;

● 若信号源与CMOS器件使用两组电源供电,应先开CMOS电源,关机时应先关信号源最后才关CMOS电源。2.3 门电路的EDA仿真

1.74LS00D的功能仿真

在Multisim软件中,按照图2.2所示的电路,从TTL库中调74LS00D,从元器件库中调出R1、R2、R3、R4,发光二极管LED1、LED2从基本库中调V1、GND、Key,从指示库中调X1等元件,连线构建74LS00D的功能仿真电路。按照功能表分别拨动J1和J2开关,即改变输入A和B的状态,观察输出端的状态变化。其中,输入端的电平用发光二极管(LED1、LED2)指示,输出端的电平用灯泡X1指示。图2.2是当74LS00D输入端分别为“1”和“0”时,输出“1”时的仿真结果。图2.2 74LS00D功能仿真电路

由仿真结果可知:当74LS00D的两个输入端输入均为“1”时,输出结果为“0”,输入端有一端输入为“0”时,输出结果为“1”。

2.74LS00D电压传输特性测试仿真

在Multisim软件中,按照图2.3所示的电路,从TTL库中调74LS00D、从基本库中调V1、GND,连线构建74LS00D电压传输特性测试仿真电路。在Multisim 9平台上对直流电压源进行直流参数扫描分析,就可以得到电压传输特性,如图2.4所示。图2.3 74LS00D电压传输特性测试电路图2.4 电压传输特性曲线

3.74LS125N的功能仿真

在Multisim软件中,按照图2.5所示的电路,从元器件库中调用74LS125N、逻辑开关J1,J2、VCC、逻辑指示X1等元件,连线构建74LS125N功能仿真电路。按照功能表分别拨动J1、J2,即改变74LS125N两个输入端的状态,观察输出端的状态变化。图2.5 74LS125N的功能仿真电路

从仿真结果上来看,当B输入为“1”,无论A输入为何值,输出为高阻态;当B输入为“1”,A输入为“0”,则输出为“1”;当B输入为“1”,A输入为“1”,则输出为“0”。

4.CD4001 BD_5V的功能仿真

在Multisim软件中,按照图2.6所示的电路,从元器件库中调用CD4001 BD_5 V、逻辑开关J1,J2、VCC、逻辑指示X1等元件,连线构建CD4001 BD_5 V功能仿真电路。图2.6 CD4001 BD_5 V的功能仿真电路

启动仿真,按照功能表分别拨动J1、J2,即改变CD4001 BD_5 V两个输入端的状态,观察输出端的状态变化,可知CD4001 BD_5 V是或非门。

5.摩根定律的仿真

在Multisim软件中,按照图2.7所示的电路,从元器件库中调用所有的元件,连线构建摩根定律的仿真电路。图2.7 摩根定律的仿真电路

启动仿真,按照功能表分别拨动J1、J2,即改变两个输入A和B的状态,观察输出端的状态变化,可知 X1 和 X2 状态变化一致,也就验证了摩根定律Y=是正确的。2.4 集成逻辑门功能测试

1.与非门74LS20功能测试(1)按图2.8接线,构成74LS20功能测试电路。四个输入端A、1B、C、D接逻辑开关,输出端Y接一个电平显示灯,观察并记录1111输出Y端的状态,填于表2.3中。1图2.8 与非门功能测试电路表2.3 与非门功能测试表(2)观察与非门对脉冲信号的控制作用,电路如图2.9所示,用示波器或逻辑电平显示器观察输入、输出波形。将与非门一个输入端接连续脉冲信号,其余输入端接同一逻辑开关,当逻辑开关依次置“0”和置“1”时,记录输出波形于表2.4中。图2.9 与非门对脉冲信号的控制测试电路表2.4 与非门对脉冲信号的控制情况测试表

2.与非门74LS20主要参数的测试(1)分别按图2.10 4种方式接线并进行测试,将测试结果记入表2.5中。表2.5 与非门特性参数测试表(2)按图2.11接线,调节电位器R,使V从0 V向高电平变化,Wi逐点测量V和V的对应值,记录于表2.6中。io图2.10 与非门特性参数测试图图2.11 与非门电压传输特性测试电路表2.6 与非门电压传输特性测试表

3.OC门74LS03“线与”功能测试

按图2-12接线,当输入状态按表2.7所列数据变化时,测试输出端F的状态。图2.12“线与”功能测试电路表2.7“线与”功能测试

4.三态门74LS125功能测试(1)按图2.13接线,根据表2.8所列数据置数,记录结果。图2.13 三态门功能测试电路表2.8 三态门功能测试表(2)按图2.14接线,用示波器或逻辑电平输出观察当C=1,1C=0时输出电压的波形,并画出两种情况下的输入/输出电压波形,1填于表2.9中。

试读结束[说明:试读内容隐藏了图片]

下载完整电子书


相关推荐

最新文章


© 2020 txtepub下载