基于AlteraFPGA、CPLD的电子系统设计及工程实践(txt+pdf+epub+mobi电子书下载)


发布时间:2020-05-29 09:32:36

点击下载

作者:刘延飞郭锁利王晓戎李琪常春藤等编著

出版社:通信图书编辑部

格式: AZW3, DOCX, EPUB, MOBI, PDF, TXT

基于AlteraFPGA、CPLD的电子系统设计及工程实践

基于AlteraFPGA、CPLD的电子系统设计及工程实践试读:

前言

随着可编程逻辑器件工艺和开发工具的快速发展,可编程逻辑器件生产厂家(如 Altera公司)推出了高性价比的新一代超大规模可编程逻辑器件。2003年,举世闻名的Forbes(福布斯)杂志(www.forbes.com)曾经揭示了一个新的概念,在未来的计算机中,CPU将被FPGA (现场可编程逻辑门阵列)芯片所取代。同时美国的一家公司也已成功推出了以 FPGA 代替CPU 的计算机,FPGA/CPLD 被国外的媒体认为是一次新的革命性技术进步。本书的作者都是长期从事FPGA/CPLD教学、科研的一线人员,本书系统全面地介绍了FPGA/CPLD的原理、开发环境、编程语言和应用实例。

本书把读者的实际需求作为内容的切入点,在讲述抽象理论时注重引用实例将理论形象化,在讲述实例时又注重将优秀的设计理念巧妙地融合进来。全书讲述清楚,内容由浅入深,书中的大量实例凝结了作者多年的实际开发经验,让读者从根本上理解FPGA开发的深层内涵。

本书的内容安排如下。

第 1 章为Altera公司的可编程逻辑器件综述,包含了特点、应用和选型。

第 2 章对Altera 公司的FPGA 开发软件Quartus II 8.1 及其应用基础作了详细介绍。

第 3 章通过具体实例系统地介绍了VHDL的基本结构、基本语句和描述风格。

第 4 章分别详细介绍了Altera Quartus II 设计工具的原理图、VHDL 语言、状态机、LPM可定制宏功能模块设计和混合设计等方法。

第 5 章详细介绍了分频器、序列检测器、交通控制器、计数器、显示译码器和整形电路的FPGA工程实践经典模块的设计和仿真。

第 6 章详细讨论了基于FPGA 的单片机外围电路设计实例,包括去抖动电路、4×4 矩阵键盘、ADC控制、UART控制器、控制步进电机的转向、控制步进电机的速度等模块电路的设计。

第 7 章以电子大赛实例——基于DDS的正弦信号发生器为例,详细介绍了电子系统设计的全过程。

第 8 章介绍FPGA在视频监视系统设计中的应用实例。

第 9 章详细分析了RoboCup机器人大赛实例——轮系码盘反馈系统的设计与实现。

第 10 章以FPGA实现32位CPU为例,详细系统地介绍了NIOS II IDE开发环境的使用,使初学者快速体验SoPC系统的设计全过程。

第 11 章通过几个简单实例,详细地讲解了如何利用DSP Builder设计DSP 模块。

第 12 章较为全面地介绍了经典第三方工具的使用与实践。

本书实例的源代码和相关文件可到人民邮电出版社网站(www.ptpress.com.cn)下载。

本书第1~4章和第11章由郭锁利和王晓戎编写,第5、6、7、9、10、12章由刘延飞和李琪编写,第8章由韩溟、程攀攀和刘延飞编写。

感谢第二炮兵工程学院基础实验中心的研究生对本书的大力支持,其中姜柯、侯荣昌、等也参与了本书的部分工作,本书也渗透了他们辛勤的汗水。

另外,还需要特别感谢北京交通大学丁晓明老师在本书编写出版过程中给予作者的悉心指导和大力支持。

由于时间和研究进度的限制,本书对FPGA在SoPC系统设计和DSP系统方面的讲解不够全面、深入,不能不说是本书的缺憾。作者希望在进一步研究和实际工程应用后再版本书,修正本书第1版中的错误与不足,吸收第1版读者的意见,加强SoPC、DSP算法的FPGA实现部分内容,并引入更多的工程应用实例。

本书作者力求把本书内容写好,但由于能力所限,书中纰漏在所难免。如果读者对本书有意见和建议,欢迎联系本书编辑(E-mail:liuyang@ptpress.com.cn),本书作者在此表示诚挚的感谢。第1章可编程逻辑器件1.1 可编程逻辑器件的发展历程

当今社会是数字化的社会,是数字集成电路广泛应用的社会。数字集成电路本身在不断地进行更新换代。它由早期的电子管、晶体管、中小规模集成电路,发展到超大规模集成电路(VLSIC,几万门以上)以及许多具有特定功能的专用集成电路。但是,随着微电子技术的发展,设计与制造集成电路的任务已不再完全由半导体厂商来独立承担。系统设计师们更愿意自己设计专用集成电路(ASIC)芯片,而且希望ASIC的设计周期尽可能短,最好是在实验室里就能设计出合适的 ASIC 芯片,并且立即投入实际应用之中,因而出现了现场可编程逻辑器件(FPLD),其中应用最广泛的当属现场可编程门阵列(FPGA)和复杂可编程逻辑器件(CPLD)。

早期的可编程逻辑器件只有可编程只读存储器(PROM)、紫外线可擦除只读存储器(EPROM)和电可擦除只读存储器(EEPROM)3 种。由于结构的限制,它们只能完成简单的数字逻辑功能。

其后,出现了一类结构上稍复杂的可编程芯片,即可编程逻辑器件(PLD),它能够完成各种数字逻辑功能。典型的PLD由一个“与”门和一个“或”门阵列组成,而任意一个组合逻辑都可以用“与—或”表达式来描述,所以,PLD能以乘积和的形式完成大量的组合逻辑功能。典型的PLD的部分结构如图1-1所示。图1-1 典型的PLD的部分结构(实现组合逻辑的部分)

这一阶段的产品主要有PAL(可编程阵列逻辑)和GAL(通用阵列逻辑)。PAL由一个可编程的“与”平面和一个固定的“或”平面构成,或门的输出可以通过触发器有选择地被置为寄存状态。PAL器件是现场可编程的,它的实现工艺有反熔丝技术、EPROM技术和EEPROM技术。还有一类结构更为灵活的逻辑器件是可编程逻辑阵列(PLA),它也由一个“与”平面和一个“或”平面构成,但是这两个平面的连接关系是可编程的。PLA器件既有现场可编程的,也有掩膜可编程的。在PAL的基础上,又发展了一种通用阵列逻辑GAL(Generic Array Logic),如GAL16V8、GAL22V10等。它采用了EEPROM工艺,实现了电可擦除、电可改写,其输出结构是可编程的逻辑宏单元,因而它的设计具有很强的灵活性,至今仍有许多人使用。这些早期的 PLD 器件的一个共同特点是可以实现速度特性较好的逻辑功能,但其过于简单的结构也使它们只能实现规模较小的电路。

为了弥补这一缺陷,20世纪80年代中期,Altera和Xilinx分别推出了类似于PAL结构的扩展型CPLD(Complex Programmable Logic Dvice)和与标准门阵列类似的FPGA(Field Programmable Gate Array),它们都具有体系结构和逻辑单元灵活、集成度高以及适用范围宽等特点。这两种器件兼容了PLD和通用门阵列的优点,可实现较大规模的电路,编程也很灵活。与门阵列等其他ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点,因此被广泛应用于产品的原型设计和产品生产(一般在10 000 件以下)之中。几乎所有应用门阵列、PLD和中小规模通用数字集成电路的场合均可应用FPGA和CPLD器件。

不同厂家的叫法不尽相同。Xilinx 把基于查找表技术、SRAM 工艺、要外挂配置用的EEPROM的PLD叫做FPGA;把基于乘积项技术、Flash工艺(类似EEPROM工艺)的PLD叫做CPLD。Altera把自己的PLD产品:MAX系列(乘积项技术,EEPROM工艺)、FLEX系列(查找表技术,SRAM 工艺)都叫做CPLD,即复杂PLD(Complex PLD),由于FLEX系列也是SRAM工艺,基于查找表技术,要外挂配置用的EPROM,用法和Xilinx的FPGA一样,所以很多人也称Altera的FLEX系列产品为FPGA。

FPGA/CPLD芯片都是特殊的ASIC芯片,它们除了具有ASIC的特点之外,还具有以下几个优点。(1)随着VLSIC(Very Large Scale IC,超大规模集成电路)工艺的不断提高,单一芯片内部可以容纳上百万个晶体管,FPGA/CPLD 芯片的规模也越来越大,其单片逻辑门数已达到上百万门,它所能实现的功能也越来越强,同时也可以实现系统集成。(2)FPGA/CPLD 芯片在出厂之前都做过百分之百的测试,不需要设计人员承担投片风险和费用,设计人员只需在自己的实验室里就可以通过相关的软硬件环境来完成芯片的最终功能设计。所以,FPGA/CPLD的资金投入少,节省了许多潜在的花费。(3)用户可以反复地对FPGA/CPLD芯片编程、擦除和使用,或者在外围电路不变的情况下用不同软件实现不同的功能。所以,用FPGA/CPLD试制样片,能以最快的速度占领市场。FPGA/CPLD 软件包中有各种输入工具和仿真工具,以及版图设计工具和编程器等全线产品,电路设计人员在很短的时间内就可完成电路的输入、编译、优化、仿真,直至最后芯片的制作。当电路有少量改动时,更能显示出FPGA/CPLD的优势。FPGA/CPLD软件易学易用,电路设计人员使用 FPGA/CPLD 进行电路设计时,不需要具备专门的 IC(集成电路)深层次的知识,这样可以使设计人员更能集中精力进行电路设计,快速将产品推向市场。

经过了十几年的发展,许多公司都开发出了多种可编程逻辑器件。比较典型的就是Altera公司的CPLD器件系列和Xilinx公司的FPGA器件系列,它们开发得较早,占用了较大的PLD市场。通常来说,在亚太地区用Altera的人多,在欧洲用Xilinx的人多,在美国则是平分秋色。全球PLD/FPGA产品的60%以上是由Altera和Xilinx提供的。可以说Altera和Xilinx共同决定了 PLD 技术的发展方向。当然还有许多其他类型的器件,如:Lattice、Vantis、Actel、Quicklogic、Lucent等。

随着可编程逻辑器件应用的日益广泛,许多IC制造厂家涉足PLD/FPGA领域。目前世界上有十几家生产CPLD/FPGA的公司,最大的3家是Altera、Xilinx和Lattice,其中Altera和Xilinx占有了60%以上的市场份额。(1)Altera:20 世纪 90 年代以后发展很快,可编程逻辑器件最大的供应商之一。主要产品有:MAX 3000/7000、FLEX10K、APEX20K、ACEX1K、Stratix、Cyclone 等。开发软件为 MAX + Plus II 和 Quartus II。普遍认为其开发工具——MAX + Plus II 是最成功的 PLD 开发平台之一,配合使用 Altera 公司提供的免费 OEM HDL 综合工具可以达到较高的效率。(2)Xilinx:可编程逻辑器件最大的供应商之一。产品种类较全,主要有:XC 9500/4000、Coolrunner(XPLA 3)、Spartan、Virtex 等。开发软件为Foundition和ISE。(3)Lattice:ISP技术的发明者。ISP技术极大地促进了PLD产品的发展,与Altera和Xilinx相比,其在开发工具上略逊一筹。中小规模PLD比较有特色,不过其大规模PLD、FPGA的竞争力还不够强。1999年推出可编程模拟器件。同年收购Vantis(原AMD子公司),成为第三大可编程逻辑器件供应商。2001年12月收购Agere公司(原Lucent微电子部)的FPGA部门。主要产品有ispLSI 2000/5000/8000、MACH 4/5、ispMACH 4000 等。1.2 Altera CPLD/FPGA

本节主要介绍Altera公司FPGA/CPLD,包括MAX系列、Cyclone系列、Stratix系列等的特性及其应用。1.2.1 MAX 系列

1.MAX CPLD 系列

Altera领先于市场的MAX系列CPLD是世界一流的低成本器件,几乎可以实现所有的数字控制和某些模拟控制功能。作为非易失单芯片解决方案,MAX CPLD 很容易集成到用户的系统中。采用这些器件后,可以解决电路板级问题,例如处理器I/O引脚不够用,灯光、音响和移动模拟I/O管理,组件之间采用电平转换信号或者总线等问题;还能够以低成本转换不兼容的接口(即“胶合逻辑”)。MAX CPLD可以使设计人员将主要精力集中在更复杂的设计难题上。

MAX CPLD 系列的主要特性包括低成本、零功耗、超小型封装、瞬时接通和非易失、在系统可编程(ISP)、免费的Quartus II 网络版软件支持和免费的ModelSim-Altera 网络版软件支持。

对于大批量应用,Altera 3.3V MAX 3000A器件是成本最低的,而5.0V、3.3V和2.5V MAX 7000 系列为多种应用提供世界一流的高性能方案。非易失、基于 EEPROM 的 MAX 3000A和MAX 7000系列具有瞬时接通能力,密度分布在32~512个宏单元之间。这些器件支持ISP,可直接在现场重新配置。

2.MAX II CPLD系列

MAX II 器件基于一种突破性体系结构,结合了 FPGA 和 CPLD 的优点。它充分利用 4输入查找表(LUT)体系结构的性能和密度优势,并且融合了性价比很高的非易失特性。作为同类最佳的产品,其创新的体系结构为CPLD设立了成本、功耗、性能和密度新标准。

利用MAX II CPLD,设计人员可以将大量控制逻辑集成在单个器件中,从而降低了系统的成本。这一瞬时启动的非易失器件系列主要针对通用控制逻辑应用,提供3种型号:MAX II、 MAX IIG和MAX IIZ CPLD。零功耗MAX IIZ CPLD是该系列中的最新器件。MAX II CPLD系列的主要特性如表1-1所示。表1-1 MAX II CPLD 系列的特性

无论是在通信、消费电子、计算还是工业领域,MAX II CPLD 都是进行控制路径应用最好的选择,这些应用都受成本和功耗预算的约束。MAX II 器件提供更低的架构、更低的功耗以及更高的密度,使之成为复杂控制应用的最理想的解决方案,包括那些以前不可能采用CPLD的应用。MAX IIZ 器件是便携式和其他功耗、体积和价格受限等应用的理想选择,和相同封装的传统宏单元CPLD相比,其超小型封装中容纳了更多的逻辑和I/O资源。1.2.2 Cyclone 器件系列——低成本的FPGA

针对成本敏感的大批量应用,Altera推出了Cyclone FPGA系列——业界唯一从根本上面向最低成本设计的FPGA。其每一系列型号分别针对成本进行优化,是足以与ASIC和ASSP相竞争的大批量解决方案。该系列FPGA 包括65nm Cyclone III、90nm Cyclone II 和130nmCyclone系列,实现了客户定制功能,其性能领先于业界,而且功耗也是最低的。

Cyclone是Altera第一代低成本FPGA系列产品。Cyclone系列从根本上面向低成本进行设计,具有专用特性,例如嵌入式存储器、外部存储器接口和时钟管理电路等。

如果需要进一步提高系统集成度,可以考虑新的 Cyclone III FPGA 或者 Cyclone IIFPGA。这些新的Cyclone系列进一步巩固了Altera在大批量成本敏感应用解决方案中的领先优势。

1.Cyclone器件

Cyclone FPGA 是目前ASIC 应用的低成本替代方案,ASIC 开发涉及大量的工程资源、设计仿真和验证,需要进行多次重制,利用其系统级集成功能,Cyclone FPGA 系列避免了ASIC昂贵的NRE负担,降低了订购量和产品推迟带来的风险。

新的市场发展趋势,例如世界标准、平台融合、交互性以及技术改进等,不断推动了对高性价比方案的需求。Cyclone 系列 FPGA 的价格和功能满足了市场对创新的需求,通过产品迅速面市来确定领先优势。消费类、通信、计算机外设、工业和汽车等低成本大批量应用市场都可以使用Cyclone FPGA。(1)Cyclone的性能特性

Cyclone器件的性能足以和业界最快的FPGA进行竞争。Cyclone FPGA综合考虑了逻辑、存储器、锁相环(PLL)和高级I/O 接口,是价格敏感应用的最佳选择。Cyclone FPGA具有以下几方面的特点。

① 新的可编程体系结构,可实现低成本设计。

② 嵌入式存储器资源支持多种存储器应用和数字信号处理(DSP)实现。

③ 专用外部存储器接口电路,支持与DDR FCRAM 和SDRAM 器件以及SDR SDRAM存储器的连接。

④ 支持串行总线和网络接口以及多种通信协议。

⑤ 片内和片外系统时序管理使用嵌入式PLL。

⑥ 支持单端I/O 标准和差分I/O 技术,LVDS 信号数据速率高达640Mbit/s。

⑦ 处理功耗支持Nios II系列嵌入式处理器。

⑧ 采用新的串行配置器件的低成本配置方案。

⑨ Quartus II 软件OpenCore 评估特性支持免费的IP 功能评估。

⑩ Quartus II 网络版软件的免费支持。(2)Cyclone架构

Cyclone器件具有丰富的逻辑资源和存储器资源、时钟管理电路以及高性能的I/O资源。Cyclone结构如图1-2所示,垂直结构的逻辑单元(LE)、嵌入式存储块和锁相环(PLL)周围环绕着I/O单元(IOE),高效的内部连线和低延时的时钟网络,保证了每个结构单元之间时钟和数据信号的连通性。图1-2 Cyclone结构

器件周围分区工作的I/O单元被划分为不同的I/O块,在消耗最小裸片面积的情况下提供优异的性能。这些I/O块支持一系列单端和差分I/O电平标准,包括SSTL-2、SSTL-3以及最高311Mbit/s的LVDS接口标准。每个I/O单元包含3个寄存器以实现双倍数据速率(DDR)应用和其他I/O特性相关电路,如总线驱动能力可编程、总线保持以及电平摆率可编程等。

I/O 块装备了专门的外部存储器接口电路。该接口电路大大简化了与外部存储器的数据交换过程,包括DDR SDRAM 和FCRAM 器件。最大数据交换速率可达到266Mbit/s。

Cyclone 器件支持32bit/66MHz PCI接口。每个I/O 单元提供从管脚到FPGA 内核的多条路径,以便器件满足相关的建立和保持时间。

Cyclone 器件容量范围从最小 2 910 个逻辑单元和 59 904 比特的存储器,到最大 20 060个逻辑单元和294 912 比特的存储器。(3)完整的低成本SoPC解决方案

Altera 的SoPC Builder 系统开发工具提供了一个高效的平台,设计者利用该平台将通用系统部件集成到基于总线的系统中。通过SoPC Builder,Cyclone 设计者能轻松地将各种部件集成为一个完整的系统,包括处理器、外围设备、片内存储器及片外存储器接口、用户定义的逻辑等。SoPC Builder产生VHDL 或Verilog 语言源文件将系统互连,其中包括多主设备总线仲裁和中断控制逻辑。SoPC Builder同时能够生成一个包括定制硬件测试激励的仿真环境,可以用来调用Nios II 集成开发环境(IDE)。

通过使用SoPC Builder的可定制外围设备库,设计者可以在几分钟里将一个概念转变为可运行的系统。这些外围设备包括:

① 串行接口,如UART 和串行外围设备接口(SPI);

② 存储器接口,如片内RAM接口,连接片外SRAM、Flash、SSRAM以及SDRAM接口;

③ 通用并行I/O 块;

④ 直接存储器访问(DMA);

⑤ 联合测试行为组(JTAG)调试接口。

Nios II IDE 是一个完整的软件开发环境,可以处理诸如程序编译、编辑、调试等所有的软件开发任务。

Nios II 处理器用户能够利用低成本单倍数据速率 SDRAM 存储器接口的优势,这种SDRAM 存储器可以通过对I/O 引脚保持三态来进行访问。以Cyclone 器件为目标的Nios II处理器用户也能够利用串行配置器件中未被使用的存储器空间,Nios II 开发套件包括一个存储器接口和软件子程序,用来访问这些可用的内存空间,使其作为通用系统存储器用于代码和数据的存储,从而节省存储器成本。系统设计者能够利用JTAG接口进行配置硬件调试、软件调试和终端通信,节省了I/O引脚,减少了器件成本和电路板空间。

SoPC Builder能够通过简单的Avalon交换网,自动地将所有先进的高性能总线(AHB)主设备、从外围设备以及用户定义的逻辑连接起来。Nios II外围设备和接口页面提供了更多Nios II 处理器可用外围设备的相关信息。

2.Cyclone II FPGA 系列

作为第二代Cyclone 系列,与第一代相比,Cyclone II FPGA系列以极低的成本实现了更大的容量,特性更加丰富。该系列采用 1.2V、90nm、低 K 值绝缘工艺,裸片尺寸被尽可能最小地优化;采用300mm晶圆,以TSMC 的90nm工艺技术为基础,Cyclone II 器件提供了4 608~68 416 个逻辑单元(LE),并具有一整套最佳的功能,包括嵌入式 18 位×18 位乘法器、专用外部存储器接口电路、4kbit嵌入式存储器块、锁相环(PLL)和高速差分I/O能力;含有专用DSP 电路,适用于成本很低的DSP 方案。在Cyclone II FPGA 中实现Nios II嵌入式处理器时,还可以针对价格敏感的大计算量应用建立最具成本效益的处理方案。

Cyclone II 器件扩展了 FPGA 在成本敏感性、大批量应用领域的影响力,延续了第一代Cyclone 器件系列的成功。表1-2 所示为Cyclone II FPGA系列器件的特性和能力。表1-2 Cyclone II FPGA的特性和能力

① 某些器件的快速接通型号具有较短的上电复位(POR)时间。这一特性使FPGA 能够比普通Cyclone II 器件更迅速地进入工作状态。这些器件在订购码(例如 EP2C8AF256I8N)中以字母“A”标出。关于这些型号规范方面的问题,请参考Cyclone II数据手册。

② EP2C15 器件只提供EP2C15A快速接通型。

③ EP2C5A器件提供汽车级版本。(1)Cyclone II器件系列特性

Altera 的Cyclone II FPGA系列是低成本90nm解决方案,它前所未有地提高了设计的灵活性,实现了高性能的系统集成,主要特性如下:

① 成本优化的架构:Cyclone II 器件架构为最低的成本而优化,提供多达68 416 个逻辑单元(LE),密度超过第一代Cyclone FPGA 的3 倍。Cyclone II FPGA内部的逻辑资源可以用来实现复杂的应用。

② 高性能:Cyclone II FPGA要比竞争低成本90nm FPGA快60%,是市场上性能最好的低成本90nm FPGA。

③ 低功耗:Cyclone II FPGA功耗只有竞争低成本90nm FPGA 的一半,大大降低了静态和动态功耗。

④ 工艺技术:Cyclone II FPGA在300mm晶圆的基础上,采用了TSMC 领先的90nm低电介工艺技术而生产。

⑤ 嵌入式存储器:Cyclone II FPGA基于流行的M4K 存储器块,提供多达1.1Mbit 的嵌入式存储器,可以支持配置为广泛的操作模式,包括RAM、ROM、先入先出(FIFO)缓冲器以及单端口和双端口模式。

⑥ 嵌入式乘法器:Cyclone II FPGA提供最多150 个18 位×18 位乘法器,是低成本数字信号处理(DSP)应用的理想方案。这些乘法器可用于实现通用DSP功能,如有限冲击响应(FIR)滤波器、快速傅里叶变换、相关器、编/解码器以及数控振荡器(NCO)。

⑦ 外部存储器接口:Cyclone II 器件提供高级外部存储器接口支持,允许开发人员集成外部单倍数据速率(SDR)、双倍数据速率(DDR)、DDR2 SDRAM 器件以及第二代4 倍数据速率(QDR II)SRAM 器件,数据速率最高可达668Mbit/s。

⑧ 差分I/O支持:Cyclone II器件提供差分信号支持,包括LVDS、RSDS、mini-LVDS、LVPECL、SSTL 和HSTL I/O标准。LVDS 标准支持接收端最高805Mbit/s 数据速率,发送端最高622Mbit/s。

⑨ 单端I/O支持:Cyclone II器件支持各种单端I/O 标准,如当前系统中常用的LVTTL、LVCMOS、SSTL、HSTL、PCI和PCI-X标准。

⑩ 接口和协议支持:Cyclone II 器件支持串行总线和网络接口(如PCI 和PCI-X),快速访问外部存储器件,同时还支持大量通信协议,包括以太网协议和通用接口。 时钟管理电路:Cyclone II器件支持最多达4 个可编程锁相环(PLL)和最多16 个全局时钟线,提供强大的时钟管理和频率合成能力,使系统性能最大化。这些PLL提供的高级特性包括频率合成、可编程占空比、外部时钟输出、可编程带宽、输入时钟扩频、锁定探测以及支持差分输入输出时钟信号。 Nios II 嵌入式处理器:Cyclone II 器件的Nios II 嵌入式处理器降低了成本,提高了灵活性,给低成本分立式微处理器提供了一个理想的替代方案。 片内匹配:Cyclone II FPGA 支持驱动阻抗匹配和片内串行终端匹配。片内匹配消除了对外部电阻的需求,提高了信号完整性,简化了电路板的设计。Cyclone II FPGA 通过外部电阻还可支持并行匹配和差分匹配。 快速接通能力:Cyclone II FPGA 具有快速接通能力,上电后能够迅速工作,是汽车等需要快速启动的应用的理想选择。在器件订购码中以“A”表示具有较短上电复位(POR)时间的Cyclone II FPGA(EP2C5A、EP2C8A、EP2C15A和EP2C20A)。 热插拔及上电顺序:Cyclone II 器件提供强大的片内热插拔以及上电顺序支持,确保器件正确操作不依赖上电顺序。该特性同时实现了上电之前和上电过程中对器件和三态 I/O缓冲的保护,使Cyclone II 器件成为多电压系统以及具有高可靠性和冗余需求的应用的理想方案。 循环冗余校验(CRC):Cyclone II 器件具有32 比特CRC 功能。内置的CRC 电路简化了校验流程,只需在Quartus II 软件中单击一下即可。这是FPGA 中对付单事件干扰(SEU)问题最有效的解决方案。 串行配置器件:Cyclone II 器件可以采用Altera的低成本串行配置器件进行配置,这种串行配置器件最大可提供64Mbit的Flash存储器。(2)Cyclone II FPGA与Cyclone FPGA的差别

Cyclone II FPGA提供比Cyclone FPGA更新、更先进的特性。这些特性包括嵌入式乘法器、支持DDR2 和QDR II 存储器件的外部存储器接口、片内串行匹配,以及支持更多的差分和单端I/O标准。(3)应用

Cyclone II 器件是汽车、通信、消费电子类、视频处理、测试和测量以及其他终端市场解决方案的理想选择。

3.Cyclone III 器件系列(1)Cyclone III FPGA 简介

Cyclone III FPGA是该系列低成本器件中的最新产品,前所未有地同时实现了低功耗、低成本和高性能,即使在成本最敏感的大批量应用中,也能以最低成本迅速实现更多的功能。采用TSMC的65nm低功耗(LP)工艺技术,Cyclone III FPGA 使用户能够灵活地实现高级设计功能,提高效率,针对应用优化特性,同时满足最苛刻的成本和功耗预算。而且,实现这一切不需要付出像ASIC那样高昂的NRE成本。

Cyclone III系列包括8 个型号,容量在5 000~120 000 个逻辑单元(LE)之间,最多534个用户 I/O 引脚。如表 1-3 所示,Cyclone III 器件具有 4MB 嵌入式存储器、288 个嵌入式18×18 乘法器、专用外部存储器接口电路、锁相环(PLL)以及高速差分I/O 等。表1-3 Cyclone III FPGA简介

Cyclone III FPGA系列为成本敏感的各种大批量应用提供多种器件和封装选择。CycloneIII器件结温在−40~+125℃之间,有3种温度等级,支持各种工作环境。(2)Cyclone III器件系列体系结构

Cyclone III系列65nm FPGA前所未有地同时实现了低功耗、低成本和高性能。其体系结构包括高达120 000 个的垂直排列逻辑单元(LE)、以9kbit(M9K)模块构成的4Mbit 嵌入式存储器、嵌入式乘法器以及被I/O单元(IOE)围绕的锁相环,如图1-3所示。高效互联和低斜移时钟网络结构为时钟信号和数据信号提供连接。图1-3 Cyclone III平面布置(3)Cyclone III的功耗

图1-4 所示为Cyclone III 系列FPGA 在不同工作频率时的典型动态功耗。在具有代表性的工作频率点,例如20MHz,容量最大的Cyclone III器件——120K LE EP3C120,动态功耗小于600mW。即使在工作频率高达100MHz时,EP3C120的动态功耗也小于2W。图1-4 Cyclone III FPGA 的典型动态功耗

如果不采取措施来降低功耗,65nm 半导体工艺的静态功耗会显著增加。亚微米工艺的静态功耗之所以增加,主要原因在于泄漏电流的增大,包括65nm工艺较薄的逻辑门氧化层之间的隧道电流,以及亚阈值泄漏(沟道至源极和漏极至源极电流)等。Altera在Cyclone III器件上采用的工艺和体系结构改进技术包括使用低K绝缘、可变沟道长度和氧化层厚度,以及多晶体管阈值电压等重要措施,降低了工艺尺寸,减小了动态和静态功耗。与90nm Cyclone II器件相比,Cyclone III器件的总功耗降低了30%。

图1-5所示为Cyclone III器件在25℃和85℃时的静态功耗。容量最小的Cyclone III器件在25℃时静态功耗只有35mW,容量最大的Cyclone III器件在85℃时静态功耗只有170mW。图1-5 Cyclone III FPGA 的典型静态功耗

降低可编程逻辑器件的功耗对大量的应用有明显的好处,这些应用包括:

① 便携式或者手持式电池供电的设备;

② 空间受限以及其他散热困难的环境;

③ 价格敏感的应用,如采用制冷系统成本较高的应用。

Cyclone III系列充分展示了Altera在低功耗FPGA 上的领先优势。结合最全面的体系结构和芯片增强技术,以及最新的半导体工艺技术和为客户提供的完整的功耗管理工具,Altera的努力得到了回报——与90nm Cyclone II FPGA 相比,功耗降低了50%,在所有可比较的FPGA中,其功耗是最低的。(4)Cyclone III系列特性

Cyclone III系列特性总结见表1-4。表1-4 Cyclone III 系列特性(5)Cyclone III应用

其体系结构具有丰富的逻辑、存储器和DSP资源,能够帮助客户针对应用提高系统集成度。从视频和图像处理,到显示和无线通信,Cyclone III器件都有广泛的应用。1.2.3 Arria 系列——高性价比FPGA

Arria系列FPGA在功耗、性能和成本上针对需要3G收发器的应用进行了优化。该系列提供丰富的特性(存储器、可编程逻辑和DSP),结合3-Gb收发器优异的信号完整性,非常适合使用主流协议的多种应用,例如PCI Express、CPRI、SDI、吉比特以太网等。借助集成Quartus II 设计环境、IP、参考设计、设计实例和开发套件,将迅速启动并实现设计。Arria系列FPGA 包括Arria GX和Arria II GX 器件,片内收发器支持FPGA 串行数据在高频下的输入输出,如表1-5所示。

1.Arria II GX 器件

Arria II GX FPGA 是业界功耗最低的FPGA,带有3.75Gbit/s 收发器。Arria II GX FPGA设计用于对成本敏感的应用,它基于40nm全功能FPGA架构,包括自适应逻辑模块(ALM)、数字信号处理(DSP)模块、嵌入式RAM 和硬核PCIe IP 内核。与其他的3Gbit/s FPGA 不同, Arria II GX FPGA 更实用,可以帮助用户更迅速地完成工程。

使用收发器FPGA进行开发的复杂应用在电路板设计上曾遇到过很大的挑战,难以达到产品及时面市的目标。Arria II GX FPGA 体系结构结合 Quartus II 设计软件、SoPC Builder 系统集成工具和参考设计,解决了这些困难,使得用户能够轻松设计实际应用。(1)采用SoPC Builder迅速开始PCIe硬核IP 设计,在45min 内构建一个PCIe 设计。(2)参考经过全面参数赋值和记录的大量HDL设计实例和测试台。(3)参考利用协议IP包,包括用户指南、知识产权(IP)内核、参考设计、设计实例和培训。(4)在简单直观的GUI 中,使用SoPC Builder无缝连接IP 模块。(5)采用电源分配网络(PDN)和同时开关噪声(SSN)工具,轻松体验电路板级设计。(6)使用Arria II GX 开发套件,在硬件中开发并测试设计。

Arria II GX FPGA 非常适合3Gbit/s 应用,提供全面的解决方案,包括IP、设计指南和辅助资料,帮助用户在无线、固网、广播和军事等多种市场领域轻松进行设计。表1-5 Arria系列收发器FPGA对比

2.Arria GX 器件

Arria GX FPGA 是 Altera 的高性价比 90nm 收发器 FPGA 系列。其收发器速率达到3.125Gbit/s,可以连接现有的模块和器件,支持 PCI Express、吉比特以太网、Serial RapidIO、SDI、XAUI 等协议。Arria GX FPGA 采用了 Altera 成熟可靠的收发器技术,确保用户的设计能够具有优异的信号完整性。

采用Arria GX FPGA,能够迅速简单地连接定制逻辑和带有3.125Gbit/s 收发器的器件。Arria GX器件使用Altera 成熟的收发器技术,支持各种串行协议,实现桥接、无线和固网应用。该系列的逻辑密度在20 000~90 000 个逻辑单元之间,支持多种实用IP 内核,帮助用户完成并运行设计。

Altera 多年的收发器专业技术为Arria GX FPGA 提供支持,即物理编码子层(PCS)和物理介质附加(PMA)接口针对协议进行了优化,是Stratix II GX FPGA的低功耗版本。Arria GX 器件支持的协议包括PCI Express、CPRI、Serial RapidIO和吉比特以太网,能够以非常低的总成本轻松实现定制逻辑和主流协议的接口。1.2.4 Stratix系列——高端FPGA

Stratix系列FPGA能够帮助设计者以更低的风险和更高的效能尽快推出最先进的高性能产品。Stratix系列FPGA结合了高密度、高性能以及丰富的特性,使得设计者能够集成更多的功能,提高系统带宽。Stratix系列FPGA包括带有片内收发器的GX型号,即串化器/解串器(SERDES),能够以很高的频率实现FPGA数据传送。

所有Stratix 系列FPGA 都有等价的HardCopy ASIC 器件。通过对设计进行FPGA 原型开发,HardCopy ASIC 提供了低风险、低成本的量产途径。Stratix 系列FPGA 也适用于标准单元ASIC的原型开发和验证。

Stratix 系列FPGA 包括Stratix 和Stratix GX型、Stratix II 和Stratix II GX 型、Stratix III以及Stratix IV FPGA,见表1-6 和表1-7。表1-6 Stratix系列FPGA的对比表1-7 Stratix系列中基于收发器的FPGA的对比

① PCS和PMA 收发器。

② 仅对PMA 收发器(仅对Stratix IV GX FPGA)。(1)Stratix 和 Stratix GX 是 Stratix 系列 FPGA 中型号最早的产品。这一高性能 FPGA系列引入了DSP硬核、IP模块以及Altera应用广泛的TriMatrix片内存储器和灵活的I/O结构。(2)Stratix II和Stratix II GX型FPGA 是业界领先的90nm高密度、高性能FPGA。构建在ALM 逻辑结构上,Stratix II 器件针对最佳性能和最低功耗进行优化,比前代FPGA 性能平均快出50%,Stratix II器件系列同时提供带有和不带有收发器的型号产品。Stratix II GX是该系列中带有20个低功耗高速通道的收发器型器件,数据速率在600Mbit/s~6.375Gbit/s之间。动态配置发送预加重和接收均衡优化了通道状态较差时的信号完整性。收发器能够驱动6.375Gbit/s的FR-4背板,与多家供应商的背板和收发器具有通用性。而且,PCS硬核IP作为收发器模块的一部分,节省了宝贵的逻辑资源,简化了协议支持。(3)Stratix III FPGA是业界功耗最低的高性能65nm FPGA。设计者可以借助逻辑型(L)、存储器增强型(E)和数字信号处理型(DSP)来综合考虑设计资源要求,而不会采用资源比实际需求大得多的器件进行设计,从而节省了电路板,缩短了编译时间,降低了成本。Stratix IIIFPGA面向大量应用的高端内核系统处理设计。

Altera 的Stratix III系列具有更高的密度和性能,而功耗大大降低,进一步提高了应用的集成度,降低了复杂性。其灵活高效的逻辑结构、经过改进的存储器模块以及大容量DSP模块能够满足最苛刻的系统需求。

Stratix III FPGA 专门针对易用性和快速系统集成而设计,经过优化的3种型号可以满足不同的应用要求。Stratix III L FPGA 在逻辑、存储器和DSP 资源方面达到最佳平衡,适用于一般应用;Stratix III E FPGA增强了存储器和DSP 资源,适用于对存储和DSP 要求较高的应用。所有型号都包括快速、灵活和可靠的I/O 互联,提供支持1 067Mbit/s/533MHz 的DDR3DIMM存储器接口。(4)Stratix IV FPGA是第四代Stratix FPGA系列产品,在所有40nm FPGA中,具有最大的密度、最好的性能和最低的功耗。3 种型号满足了大规模设计的各种应用需求:Stratix IV GT FPGA、Stratix IV GX FPGA和Stratix IV E FPGA。

Stratix IV FPGA系列提供增强型(E)和带有收发器(GX 和GT)的增强型器件,满足了无线和固网通信、军事、广播等众多市场和应用的需求。这一高性能 40nm FPGA 系列包含了同类最佳的11.3Gbit/s收发器,是10G/40G/100G 应用唯一的单芯片解决方案。Stratix IV GX FPGA 实现了前所未有的系统带宽,具有优异的信号完整性。采用Stratix IV E FPGA,可以实现密度最高的非收发器应用。所有Stratix FPGA 型号包括业界效率最高、性能最好的逻辑、嵌入式存储器和DSP 功能。此外,Stratix IV GX和Stratix IV E 器件通过可集成6.5Gbit/s收发器的HardCopy IV ASIC,实现了无缝、低风险量产。Stratix IV FPGA 是高端芯片系统(SoC)设计的最佳总体解决方案。第2章Quartus II 8.1软件应用基础

本章主要介绍Quartus II的发展、系统特点,讲述Quartus II 8.1 版本软件的安装方法,并简单介绍Quartus II 8.1 软件以及如何用Quartus II 设计FPGA 的数字系统。2.1 Quartus II 概述

Quartus II 是 Altera 公司提供的 FPGA/CPLD 开发集成环境,Altera 公司是世界上最大的可编程逻辑器件供应商之一。Quartus II 在21世纪初推出,是Altera 前一代FPGA/CPLD集成开发环境 MAX + plus II 的更新换代产品,其界面友好,使用便捷。在 Quartus II上可以完成设计输入、HDL综合、布线布局(适配)、仿真和下载以及硬件测试等流程,它提供了一种与结构无关的设计环境,使设计者能方便地进行设计输入、快速处理和器件编程。

Quartus II 提供了完整的多平台设计环境,能满足各种特定设计的需要,也是单芯片可编程系统(SoPC)设计的综合性环境和SoPC 开发的基本设计工具,并为Altera DSP开发包进行系统模型设计提供了集成综合环境。Quartus II 设计工具完全支持 VHDL、Verilog 的设计流程,其内部嵌有 VHDL、Verilog 逻辑综合器。Quartus II 也可以利用第三方的综合工具,如Leonardo Spectrum、Synplify Pro、FPGA Complier II,并能直接调用这些工具。同样,Quartus II 具备仿真功能,同时也支持第三方的仿真工具,如ModelSim。此外,Quartus II 与MATLAB和 DSP Builder 结合,可以进行基于 FPGA 的 DSP 系统开发,是 DSP 硬件系统实现的关键EDA工具。

Quartus II 包括模块化的编译器。编译器包括的功能模块有分析/综合器(Analysis & Synthesis)、适配器(Fitter)、装配器(Assembler)、时序分析器(Timing Analyzer)、设计辅助(Design Assistant)模块、EDA 网表文件生成器(EDA Netlist Writer)和编译器数据库接口(Complier Database Interface)等。可以通过选择Start Complication 来运行所有的编译器模块,也可以通过选择 Start 单独运行各个模块。还可以通过选择 Complier Tool(Tools 菜单),在Complier Tool 窗口中运行该模块来启动编辑器模块。在Complier Tool 窗口中,可以打开该模块的设置文件或报告文件,或打开其他相关窗口。

此外,Quartus II 还包含许多十分有用的 LPM(Library of Parameterized Modules)模块,它们是复杂或高级系统构建的重要组成部分,在 SoPC设计中被大量使用,也可与 Quartus II 普通设计文件一起使用。Altera 公司提供的 LPM 函数均基于 Altera 器件的结构做了优化设计。在许多实际情况中,必须使用宏功能模块,才可以使用一些 Altera特定器件的硬件功能。例如各类片上存储器、DSP模块、LVDS驱动器、PLL以及SERDES和DDIO电路模块等。

Quartus II 编译器支持的硬件描述语言有 VHDL(支持 VHDL’87 及 VHDL’97 标准)、Verilog HDL及AHDL(Altera HDL),AHDL 是Altera 公司自己设计、制定的硬件描述语言,是一种以结构描述方式为主的硬件描述语言,只有企业标准。

Quartus II 允许来自第三方的EDIF 文件输入,并提供了很多EDA 软件的接口,Quartus II支持层次化设计,可以在一个新的编辑输入环境中对使用不同输入设计方式完成的模块(元件)进行调用,从而解决了原理图与 HDL 混合输入设计问题。在设计输入之后,Quartus II的编译器将给出设计输入的错误报告。Quartus II 拥有良好的设计输入定位器,用于确定文本或图形设计中的错误。对于使用HDL 的设计,可以使用Quartus II 带有的RTL Viewer 观察综合后的RTL图。在进行编译后,可对设计进行时序仿真。在作仿真前,需要利用波形编辑器编辑一个波形激励文件,用于仿真验证时的激励。编译和仿真经检测无误后,便可以将下载信息通过Quartus II 提供的编程器下载到目标器件中了。2.2 Quartus II 特性

2006年6 月,Altera 公司发布了Quartus II 3.0 版本开发软件,紧接着其后便是Quartus II4.0、5.0、6.0、7.0 和 8.0 版本。这些版本的开发软件使系统设计者能够直接针对 HardCopy掩膜器件进行设计,能够预测和验证器件的性能,其性能比同等的FPGA快50%。2.2.1 Quartus II 7.2软件特性

Altera 公司于 2007 年 11 月发布了 Quartus II 7.2 版本软件,继续为 FPGA 用户提供无与伦比的效能工具。通过这一新版软件,Altera 延续了在关键效能标准——编译时间上的优势。设计人员利用Quartus II 7.2软件,和高端65nm的FPGA相比,Stratix III FPGA在编译时间上有3倍的优势。

Quartus II 7.2 软件增强了效能和性能,使设计人员能够进一步缩短编译时间,满足性能要求。使用多处理器计算机的 Quartus II 软件,用户在编译时间上要比单处理器计算机用户平均快20%。此外,增强布局布线算法还满足了Stratix III用户的高性能需求,和竞争对手相比,帮助他们获得了两个速率等级的优势。Quartus II 7.2 具有以下增强特性。(1)新的实时 I/O 检查。加入实时引出验证功能,更迅速地对引脚布局和分配进行验证。(2)改进了SoPC Builder中的Avalon流支持。新的适配器自动插入功能帮助用户更轻松地完成Avalon流设计。而且,修复组件编辑器提供更快的GUI,帮助用户加入定制组件,支持Avalon流。(3)扩展 OS 支持,包括 Windows Vista。Altera 是唯一通过 Quartus II 软件支持Windows Vista 32 位和64位版本的FPGA 供应商。(4)新的状态机编辑器。利用新的图形状态机设计输入工具,加速设计输入。(5)增强Signal Tap II,简化了芯片调试。根据事件顺序,改进触发条件设置来采集数据,加速芯片调试。此外,Signal Tap II 提供增强分段采集功能,更好地使用存储器缓冲,简化了硬件验证。(6)增强 TimeQuest,更快的时序逼近。新的“时钟作为数据”特性,设计人员可以利用它来分析时钟信号被用作数据时的时序,这一特性是大部分时序分析工具所不具有的。此外,TimeQuest新的波形查看器使设计人员能够查看时序关系,迅速实现时序逼近。(7)更简单的软件下载。Altera 为Linux 操作系统Quartus II订购版提供新的统一下载和安装方法,以便更快地进行软件更新。2.2.2 Quartus II 8.0的特点

2008 年5 月20 号,Altera 公司发布Quartus II 8.0,支持该公司的40nm Stratix IV FPGA 和 HardCopy ASIC,延续了该公司在设计软件性能和效能上的领先优势。和最相近的竞争软件相比,这一版本的

试读结束[说明:试读内容隐藏了图片]

下载完整电子书


相关推荐

最新文章


© 2020 txtepub下载